diff --git a/hardware/portapack_h4m/CPLD/.gitignore b/hardware/portapack_h4m/CPLD/.gitignore new file mode 100644 index 00000000..8399f17b --- /dev/null +++ b/hardware/portapack_h4m/CPLD/.gitignore @@ -0,0 +1,14 @@ +**/*.qws +**/*.chg +**/smart.log +**/db/ +**/incremental_db/ +**/output_files/*.done +**/output_files/*.smsg +**/output_files/*.summary +**/output_files/*.jdi +**/output_files/*.pin +**/output_files/*.pof +**/output_files/*.rpt +**/output_files/*.sld +**/simulation/ diff --git a/hardware/portapack_h4m/CPLD/AG256SL100/Makefile b/hardware/portapack_h4m/CPLD/AG256SL100/Makefile new file mode 100644 index 00000000..749e23b3 --- /dev/null +++ b/hardware/portapack_h4m/CPLD/AG256SL100/Makefile @@ -0,0 +1,109 @@ +# +# Copyright (C) 2017 Jared Boone, ShareBrained Technology, Inc. +# Copyright (C) 2024 jLynx.net https://github.com/jLynx +# +# This file is part of PortaPack. +# +# This program is free software; you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation; either version 2, or (at your option) +# any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program; see the file COPYING. If not, write to +# the Free Software Foundation, Inc., 51 Franklin Street, +# Boston, MA 02110-1301, USA. + +# Makefile based on Altera Quartus documentation example, topic +# "About Using Quartus II from the Command Line" + +################################################################### +# Project Configuration: +# +# Specify the name of the design (project) and Quartus II Settings +# File (.qsf) and the list of source files used. +################################################################### + +PROJECT=portapack_h4m_cpld +SOURCE_FILES=top.vhd +ASSIGNMENT_FILES=$(PROJECT).qpf $(PROJECT).qsf $(PROJECT).sdc +OUTPUT_DIR=output_files + +################################################################### +# Main Targets +# +# all: build everything +# clean: remove output files and database +################################################################### + +all: smart.log $(OUTPUT_DIR)/$(PROJECT).asm.rpt $(OUTPUT_DIR)/$(PROJECT).sta.rpt + +clean: + rm -rf *.chg *.qws smart.log db/ incremental_db/ $(OUTPUT_DIR)/ + +map: smart.log $(OUTPUT_DIR)/$(PROJECT).map.rpt + +fit: smart.log $(OUTPUT_DIR)/$(PROJECT).fit.rpt + +asm: smart.log $(OUTPUT_DIR)/$(PROJECT).asm.rpt + +sta: smart.log $(OUTPUT_DIR)/$(PROJECT).sta.rpt + +smart: smart.log + +################################################################### +# Executable Configuration +################################################################### + +MAP_ARGS= +FIT_ARGS= +ASM_ARGS= +STA_ARGS= + +################################################################### +# Target implementations +################################################################### + +STAMP = echo done > + +$(OUTPUT_DIR)/$(PROJECT).map.rpt: $(SOURCE_FILES) + quartus_map $(MAP_ARGS) $(PROJECT) + $(STAMP) fit.chg + +$(OUTPUT_DIR)/$(PROJECT).fit.rpt: fit.chg $(OUTPUT_DIR)/$(PROJECT).map.rpt + quartus_fit $(FIT_ARGS) $(PROJECT) + $(STAMP) asm.chg + $(STAMP) sta.chg + +$(OUTPUT_DIR)/$(PROJECT).asm.rpt: asm.chg $(OUTPUT_DIR)/$(PROJECT).fit.rpt + quartus_asm $(ASM_ARGS) $(PROJECT) + +$(OUTPUT_DIR)/$(PROJECT).sta.rpt: sta.chg $(OUTPUT_DIR)/$(PROJECT).fit.rpt + quartus_sta $(STA_ARGS) $(PROJECT) + +smart.log: $(ASSIGNMENT_FILES) $(OUTPUT_DIR) + quartus_sh --determine_smart_action $(PROJECT) > smart.log + +################################################################### +# Project initialization +################################################################### + +$(OUTPUT_DIR): + mkdir $(OUTPUT_DIR) + +$(ASSIGNMENT_FILES): $(OUTPUT_DIR) + quartus_sh --prepare $(PROJECT) + +fit.chg: + $(STAMP) fit.chg + +sta.chg: + $(STAMP) sta.chg + +asm.chg: + $(STAMP) asm.chg diff --git a/hardware/portapack_h4m/CPLD/AG256SL100/output_files/portapack_h4m_cpld.svf b/hardware/portapack_h4m/CPLD/AG256SL100/output_files/portapack_h4m_cpld.svf new file mode 100644 index 00000000..f61e6a6e --- /dev/null +++ b/hardware/portapack_h4m/CPLD/AG256SL100/output_files/portapack_h4m_cpld.svf @@ -0,0 +1,11651 @@ +!Copyright (C) 2023 Intel Corporation. All rights reserved. +!Your use of Intel Corporation's design tools, logic functions +!and other software and tools, and any partner logic +!functions, and any output files from any of the foregoing +!(including device programming or simulation files), and any +!associated documentation or information are expressly subject +!to the terms and conditions of the Intel Program License +!Subscription Agreement, the Intel Quartus Prime License Agreement, +!the Intel FPGA IP License Agreement, or other applicable license +!agreement, including, without limitation, that your use is for +!the sole purpose of programming logic devices manufactured by +!Intel and sold by Intel or its authorized distributors. Please +!refer to the applicable agreement for further details, at +!https://fpgasoftware.intel.com/eula. +! +!Quartus Prime SVF converter 22.1 +! +!Device #1: EPM240 - A:/Users/jLynx/Documents/Code/C/portapack-mayhem/hardware/portapack_h4m/CPLD/AG256SL100/output_files/portapack_h4m_cpld.pof Sun Nov 3 11:15:25 2024 +! +!NOTE "USERCODE" "0018A481"; +! +!NOTE "CHECKSUM" "0018A981"; +! +! +! +FREQUENCY 1.80E+07 HZ; +! +! +! +TRST ABSENT; +ENDDR IDLE; +ENDIR IRPAUSE; +STATE IDLE; +SIR 10 TDI (005); +RUNTEST IDLE 93 TCK ENDSTATE IDLE; +SDR 240 TDI (FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF); +SIR 10 TDI (3FF); +RUNTEST 18003 TCK; +SIR 10 TDI (2CC); +RUNTEST 18003 TCK; +! +! +! +!CHECKING SILICON ID +! +! +! +SIR 10 TDI (203); +RUNTEST 93 TCK; +SDR 13 TDI (0089); +SIR 10 TDI (205); +RUNTEST 93 TCK; +SDR 16 TDI (FFFF) TDO (8232) MASK (FFFF); +SDR 16 TDI (FFFF) TDO (2AA2); +SDR 16 TDI (FFFF) TDO (4A82); +SDR 16 TDI (FFFF) TDO (0C2C); +SDR 16 TDI (FFFF) TDO (0000); +! +! +! +!BULK ERASE +! +! +! +SIR 10 TDI (203); +RUNTEST 93 TCK; +SDR 13 TDI (0011); +SIR 10 TDI (2F2); +RUNTEST 9000003 TCK; +SIR 10 TDI (203); +RUNTEST 93 TCK; +SDR 13 TDI (0001); +SIR 10 TDI (2F2); +RUNTEST 9000003 TCK; +SIR 10 TDI (203); +RUNTEST 93 TCK; +SDR 13 TDI (0000); +SIR 10 TDI (2F2); +RUNTEST 9000003 TCK; +! +! +! +!PROGRAM +! +! +! +SIR 10 TDI (203); +RUNTEST 93 TCK; +SDR 13 TDI (0000); +SIR 10 TDI (2F4); +RUNTEST 93 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFC); +RUNTEST 1800 TCK; +SDR 16 TDI (F9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (79FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (AF9E); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBF7); +RUNTEST 1800 TCK; +SDR 16 TDI (BBF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F3E); +RUNTEST 1800 TCK; +SDR 16 TDI (79F3); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (67FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FE); +RUNTEST 1800 TCK; +SDR 16 TDI (6FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDD); +RUNTEST 1800 TCK; +SDR 16 TDI (FBF9); +RUNTEST 1800 TCK; +SDR 16 TDI (733F); +RUNTEST 1800 TCK; +SDR 16 TDI (FF19); +RUNTEST 1800 TCK; +SDR 16 TDI (B33F); +RUNTEST 1800 TCK; +SDR 16 TDI (CCCF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (999F); +RUNTEST 1800 TCK; +SDR 16 TDI (BCCC); +RUNTEST 1800 TCK; +SDR 16 TDI (CFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6EBF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF3); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F6FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDB); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FE6F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (5FF6); +RUNTEST 1800 TCK; +SDR 16 TDI (7FB7); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FCF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (67FA); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BCFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A65F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEB); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A65F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBAF); +RUNTEST 1800 TCK; +SDR 16 TDI (CFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BAFF); +RUNTEST 1800 TCK; +SDR 16 TDI (CFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEB); +RUNTEST 1800 TCK; +SDR 16 TDI (67FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFAF); +RUNTEST 1800 TCK; +SDR 16 TDI (CFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (CFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFB7); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BAF7); +RUNTEST 1800 TCK; +SDR 16 TDI (3B33); +RUNTEST 1800 TCK; +SDR 16 TDI (63E6); +RUNTEST 1800 TCK; +SDR 16 TDI (6667); +RUNTEST 1800 TCK; +SDR 16 TDI (B333); +RUNTEST 1800 TCK; +SDR 16 TDI (31F3); +RUNTEST 1800 TCK; +SDR 16 TDI (6666); +RUNTEST 1800 TCK; +SDR 16 TDI (7CCC); +RUNTEST 1800 TCK; +SDR 16 TDI (B98F); +RUNTEST 1800 TCK; +SDR 16 TDI (9999); +RUNTEST 1800 TCK; +SDR 16 TDI (73CC); +RUNTEST 1800 TCK; +SDR 16 TDI (CCC7); +RUNTEST 1800 TCK; +SDR 16 TDI (B999); +RUNTEST 1800 TCK; +SDR 16 TDI (99E8); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7377); +RUNTEST 1800 TCK; +SDR 16 TDI (6957); +RUNTEST 1800 TCK; +SDR 16 TDI (7777); +RUNTEST 1800 TCK; +SDR 16 TDI (B777); +RUNTEST 1800 TCK; +SDR 16 TDI (74AB); +RUNTEST 1800 TCK; +SDR 16 TDI (7777); +RUNTEST 1800 TCK; +SDR 16 TDI (7DDD); +RUNTEST 1800 TCK; +SDR 16 TDI (BBA5); +RUNTEST 1800 TCK; +SDR 16 TDI (5DDD); +RUNTEST 1800 TCK; +SDR 16 TDI (7BDD); +RUNTEST 1800 TCK; +SDR 16 TDI (DDD2); +RUNTEST 1800 TCK; +SDR 16 TDI (B5DD); +RUNTEST 1800 TCK; +SDR 16 TDI (DDBF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFE7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFED); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFA); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFD); +RUNTEST 1800 TCK; +SDR 16 TDI (DEF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FB7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (DBFD); +RUNTEST 1800 TCK; +SDR 16 TDI (B3FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFCF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BCBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FD7E); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDE); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFD); +RUNTEST 1800 TCK; +SDR 16 TDI (B5FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FCFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFD7); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEA); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B6AF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFAF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B5FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77F7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FD7B); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFC); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FE3F); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF6); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7CFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEC); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF9); +RUNTEST 1800 TCK; +SDR 16 TDI (A5FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FCFB); +RUNTEST 1800 TCK; +SDR 16 TDI (73FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7AF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBC); +RUNTEST 1800 TCK; +SDR 16 TDI (67DF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFC3); +RUNTEST 1800 TCK; +SDR 16 TDI (C3EF); +RUNTEST 1800 TCK; +SDR 16 TDI (67E6); +RUNTEST 1800 TCK; +SDR 16 TDI (1FFA); +RUNTEST 1800 TCK; +SDR 16 TDI (ABFF); +RUNTEST 1800 TCK; +SDR 16 TDI (79FB); +RUNTEST 1800 TCK; +SDR 16 TDI (73FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (67FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFAF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBC); +RUNTEST 1800 TCK; +SDR 16 TDI (67FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFC3); +RUNTEST 1800 TCK; +SDR 16 TDI (C3FF); +RUNTEST 1800 TCK; +SDR 16 TDI (67E6); +RUNTEST 1800 TCK; +SDR 16 TDI (1FFA); +RUNTEST 1800 TCK; +SDR 16 TDI (B9FE); +RUNTEST 1800 TCK; +SDR 16 TDI (F9FB); +RUNTEST 1800 TCK; +SDR 16 TDI (73FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BAFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FD3C); +RUNTEST 1800 TCK; +SDR 16 TDI (67FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFE5); +RUNTEST 1800 TCK; +SDR 16 TDI (BFC3); +RUNTEST 1800 TCK; +SDR 16 TDI (C3FF); +RUNTEST 1800 TCK; +SDR 16 TDI (67E6); +RUNTEST 1800 TCK; +SDR 16 TDI (1FF9); +RUNTEST 1800 TCK; +SDR 16 TDI (B9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (F9F9); +RUNTEST 1800 TCK; +SDR 16 TDI (63FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF3); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7F7); +RUNTEST 1800 TCK; +SDR 16 TDI (FD3C); +RUNTEST 1800 TCK; +SDR 16 TDI (67FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFE5); +RUNTEST 1800 TCK; +SDR 16 TDI (BFC3); +RUNTEST 1800 TCK; +SDR 16 TDI (C3FF); +RUNTEST 1800 TCK; +SDR 16 TDI (67E6); +RUNTEST 1800 TCK; +SDR 16 TDI (1FF3); +RUNTEST 1800 TCK; +SDR 16 TDI (B9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FB79); +RUNTEST 1800 TCK; +SDR 16 TDI (66FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BA8F); +RUNTEST 1800 TCK; +SDR 16 TDI (3133); +RUNTEST 1800 TCK; +SDR 16 TDI (73E6); +RUNTEST 1800 TCK; +SDR 16 TDI (6665); +RUNTEST 1800 TCK; +SDR 16 TDI (B33B); +RUNTEST 1800 TCK; +SDR 16 TDI (3973); +RUNTEST 1800 TCK; +SDR 16 TDI (6E66); +RUNTEST 1800 TCK; +SDR 16 TDI (FCCC); +RUNTEST 1800 TCK; +SDR 16 TDI (B98F); +RUNTEST 1800 TCK; +SDR 16 TDI (989B); +RUNTEST 1800 TCK; +SDR 16 TDI (63CC); +RUNTEST 1800 TCK; +SDR 16 TDI (CCC7); +RUNTEST 1800 TCK; +SDR 16 TDI (B999); +RUNTEST 1800 TCK; +SDR 16 TDI (99CA); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7637); +RUNTEST 1800 TCK; +SDR 16 TDI (6557); +RUNTEST 1800 TCK; +SDR 16 TDI (7763); +RUNTEST 1800 TCK; +SDR 16 TDI (B773); +RUNTEST 1800 TCK; +SDR 16 TDI (72AB); +RUNTEST 1800 TCK; +SDR 16 TDI (6766); +RUNTEST 1800 TCK; +SDR 16 TDI (7DD9); +RUNTEST 1800 TCK; +SDR 16 TDI (B3B5); +RUNTEST 1800 TCK; +SDR 16 TDI (5DD8); +RUNTEST 1800 TCK; +SDR 16 TDI (79DD); +RUNTEST 1800 TCK; +SDR 16 TDI (DDD2); +RUNTEST 1800 TCK; +SDR 16 TDI (B5DD); +RUNTEST 1800 TCK; +SDR 16 TDI (DDDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEB); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFA); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFED); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFAF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7F77); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFA); +RUNTEST 1800 TCK; +SDR 16 TDI (F9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AAFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F6FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FD7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (D7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (E7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF5D); +RUNTEST 1800 TCK; +SDR 16 TDI (DBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFB7); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DB7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B5FD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDCD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF3F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (D8DF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBB2); +RUNTEST 1800 TCK; +SDR 16 TDI (DEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BE4D); +RUNTEST 1800 TCK; +SDR 16 TDI (7DEA); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFAF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (ABFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B6AF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF3); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFE1); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BE1F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF9); +RUNTEST 1800 TCK; +SDR 16 TDI (70FF); +RUNTEST 1800 TCK; +SDR 16 TDI (E0EF); +RUNTEST 1800 TCK; +SDR 16 TDI (B027); +RUNTEST 1800 TCK; +SDR 16 TDI (3BF5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF33); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFE1); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BE1F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF9); +RUNTEST 1800 TCK; +SDR 16 TDI (70FF); +RUNTEST 1800 TCK; +SDR 16 TDI (E4EF); +RUNTEST 1800 TCK; +SDR 16 TDI (A8B9); +RUNTEST 1800 TCK; +SDR 16 TDI (3BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B5AF); +RUNTEST 1800 TCK; +SDR 16 TDI (FCF3); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFE1); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BE1F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF9); +RUNTEST 1800 TCK; +SDR 16 TDI (70FF); +RUNTEST 1800 TCK; +SDR 16 TDI (1F4F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFCF); +RUNTEST 1800 TCK; +SDR 16 TDI (9475); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FC33); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFE1); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BE1F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF9); +RUNTEST 1800 TCK; +SDR 16 TDI (70FF); +RUNTEST 1800 TCK; +SDR 16 TDI (174F); +RUNTEST 1800 TCK; +SDR 16 TDI (BEC8); +RUNTEST 1800 TCK; +SDR 16 TDI (1477); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BABF); +RUNTEST 1800 TCK; +SDR 16 TDI (31B3); +RUNTEST 1800 TCK; +SDR 16 TDI (62E6); +RUNTEST 1800 TCK; +SDR 16 TDI (6667); +RUNTEST 1800 TCK; +SDR 16 TDI (B333); +RUNTEST 1800 TCK; +SDR 16 TDI (31F3); +RUNTEST 1800 TCK; +SDR 16 TDI (6666); +RUNTEST 1800 TCK; +SDR 16 TDI (7CCC); +RUNTEST 1800 TCK; +SDR 16 TDI (B9CB); +RUNTEST 1800 TCK; +SDR 16 TDI (999B); +RUNTEST 1800 TCK; +SDR 16 TDI (73CC); +RUNTEST 1800 TCK; +SDR 16 TDI (6C67); +RUNTEST 1800 TCK; +SDR 16 TDI (B998); +RUNTEST 1800 TCK; +SDR 16 TDI (B37A); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7633); +RUNTEST 1800 TCK; +SDR 16 TDI (6D57); +RUNTEST 1800 TCK; +SDR 16 TDI (7767); +RUNTEST 1800 TCK; +SDR 16 TDI (B777); +RUNTEST 1800 TCK; +SDR 16 TDI (74AB); +RUNTEST 1800 TCK; +SDR 16 TDI (7777); +RUNTEST 1800 TCK; +SDR 16 TDI (7DDD); +RUNTEST 1800 TCK; +SDR 16 TDI (BB95); +RUNTEST 1800 TCK; +SDR 16 TDI (5DD9); +RUNTEST 1800 TCK; +SDR 16 TDI (73DD); +RUNTEST 1800 TCK; +SDR 16 TDI (C9CA); +RUNTEST 1800 TCK; +SDR 16 TDI (B4CD); +RUNTEST 1800 TCK; +SDR 16 TDI (99FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7EFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6F6F); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (B36F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (BEBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDD); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFA); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FEF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7DF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFAF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFCF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF5F); +RUNTEST 1800 TCK; +SDR 16 TDI (F7EB); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFD7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF6F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF6F); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FEEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFAF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B9AF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (6DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEE); +RUNTEST 1800 TCK; +SDR 16 TDI (79FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B5FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (DDDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEA); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFAC); +RUNTEST 1800 TCK; +SDR 16 TDI (3C3F); +RUNTEST 1800 TCK; +SDR 16 TDI (7DDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFE1); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFC); +RUNTEST 1800 TCK; +SDR 16 TDI (BE1F); +RUNTEST 1800 TCK; +SDR 16 TDI (0781); +RUNTEST 1800 TCK; +SDR 16 TDI (7CFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDAC); +RUNTEST 1800 TCK; +SDR 16 TDI (3C3F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFE1); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFC); +RUNTEST 1800 TCK; +SDR 16 TDI (BE1B); +RUNTEST 1800 TCK; +SDR 16 TDI (8781); +RUNTEST 1800 TCK; +SDR 16 TDI (7CFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFC); +RUNTEST 1800 TCK; +SDR 16 TDI (3C3F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFE1); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFC); +RUNTEST 1800 TCK; +SDR 16 TDI (BE0F); +RUNTEST 1800 TCK; +SDR 16 TDI (E001); +RUNTEST 1800 TCK; +SDR 16 TDI (70FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBFC); +RUNTEST 1800 TCK; +SDR 16 TDI (3C3F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFE1); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFC); +RUNTEST 1800 TCK; +SDR 16 TDI (BE1F); +RUNTEST 1800 TCK; +SDR 16 TDI (E001); +RUNTEST 1800 TCK; +SDR 16 TDI (70FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BAA7); +RUNTEST 1800 TCK; +SDR 16 TDI (B3B3); +RUNTEST 1800 TCK; +SDR 16 TDI (63E6); +RUNTEST 1800 TCK; +SDR 16 TDI (666F); +RUNTEST 1800 TCK; +SDR 16 TDI (B333); +RUNTEST 1800 TCK; +SDR 16 TDI (31F3); +RUNTEST 1800 TCK; +SDR 16 TDI (6666); +RUNTEST 1800 TCK; +SDR 16 TDI (7CCC); +RUNTEST 1800 TCK; +SDR 16 TDI (B99F); +RUNTEST 1800 TCK; +SDR 16 TDI (8B9B); +RUNTEST 1800 TCK; +SDR 16 TDI (71CC); +RUNTEST 1800 TCK; +SDR 16 TDI (CCC7); +RUNTEST 1800 TCK; +SDR 16 TDI (B999); +RUNTEST 1800 TCK; +SDR 16 TDI (99EE); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (3737); +RUNTEST 1800 TCK; +SDR 16 TDI (6D57); +RUNTEST 1800 TCK; +SDR 16 TDI (7767); +RUNTEST 1800 TCK; +SDR 16 TDI (B777); +RUNTEST 1800 TCK; +SDR 16 TDI (74AB); +RUNTEST 1800 TCK; +SDR 16 TDI (7777); +RUNTEST 1800 TCK; +SDR 16 TDI (7DDC); +RUNTEST 1800 TCK; +SDR 16 TDI (BB95); +RUNTEST 1800 TCK; +SDR 16 TDI (5999); +RUNTEST 1800 TCK; +SDR 16 TDI (7BDD); +RUNTEST 1800 TCK; +SDR 16 TDI (DDD2); +RUNTEST 1800 TCK; +SDR 16 TDI (B5DD); +RUNTEST 1800 TCK; +SDR 16 TDI (DDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF9); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (3FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F5FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BDF6); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7EF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBBB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFB); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEDB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BD9F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B9AF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEAF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEA); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BBBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (77FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B56C); +RUNTEST 1800 TCK; +SDR 16 TDI (3FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF4); +RUNTEST 1800 TCK; +SDR 16 TDI (79FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFC); +RUNTEST 1800 TCK; +SDR 16 TDI (3FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B66C); +RUNTEST 1800 TCK; +SDR 16 TDI (3FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (5BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFC); +RUNTEST 1800 TCK; +SDR 16 TDI (3FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (6FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BEAF); +RUNTEST 1800 TCK; +SDR 16 TDI (B333); +RUNTEST 1800 TCK; +SDR 16 TDI (63E6); +RUNTEST 1800 TCK; +SDR 16 TDI (6667); +RUNTEST 1800 TCK; +SDR 16 TDI (B333); +RUNTEST 1800 TCK; +SDR 16 TDI (31F3); +RUNTEST 1800 TCK; +SDR 16 TDI (4666); +RUNTEST 1800 TCK; +SDR 16 TDI (7CCC); +RUNTEST 1800 TCK; +SDR 16 TDI (B98F); +RUNTEST 1800 TCK; +SDR 16 TDI (9999); +RUNTEST 1800 TCK; +SDR 16 TDI (73CC); +RUNTEST 1800 TCK; +SDR 16 TDI (CCC7); +RUNTEST 1800 TCK; +SDR 16 TDI (B999); +RUNTEST 1800 TCK; +SDR 16 TDI (99FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (3777); +RUNTEST 1800 TCK; +SDR 16 TDI (6D57); +RUNTEST 1800 TCK; +SDR 16 TDI (7777); +RUNTEST 1800 TCK; +SDR 16 TDI (B777); +RUNTEST 1800 TCK; +SDR 16 TDI (74AB); +RUNTEST 1800 TCK; +SDR 16 TDI (7777); +RUNTEST 1800 TCK; +SDR 16 TDI (7DDD); +RUNTEST 1800 TCK; +SDR 16 TDI (BBA5); +RUNTEST 1800 TCK; +SDR 16 TDI (5DDD); +RUNTEST 1800 TCK; +SDR 16 TDI (7BDD); +RUNTEST 1800 TCK; +SDR 16 TDI (DDD2); +RUNTEST 1800 TCK; +SDR 16 TDI (B5DD); +RUNTEST 1800 TCK; +SDR 16 TDI (DDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFD); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (97FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (4FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (8FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (8FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A55F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A55F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFD); +RUNTEST 1800 TCK; +SDR 16 TDI (79FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AAAF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (75FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9BBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEA); +RUNTEST 1800 TCK; +SDR 16 TDI (57FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (A65F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (69FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AEFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FBF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFDF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (AFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (975F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF5); +RUNTEST 1800 TCK; +SDR 16 TDI (6BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFB); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (3333); +RUNTEST 1800 TCK; +SDR 16 TDI (63E6); +RUNTEST 1800 TCK; +SDR 16 TDI (6667); +RUNTEST 1800 TCK; +SDR 16 TDI (9333); +RUNTEST 1800 TCK; +SDR 16 TDI (31F3); +RUNTEST 1800 TCK; +SDR 16 TDI (6666); +RUNTEST 1800 TCK; +SDR 16 TDI (7CCC); +RUNTEST 1800 TCK; +SDR 16 TDI (B98F); +RUNTEST 1800 TCK; +SDR 16 TDI (9999); +RUNTEST 1800 TCK; +SDR 16 TDI (73CC); +RUNTEST 1800 TCK; +SDR 16 TDI (CCC7); +RUNTEST 1800 TCK; +SDR 16 TDI (B999); +RUNTEST 1800 TCK; +SDR 16 TDI (99FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7777); +RUNTEST 1800 TCK; +SDR 16 TDI (6957); +RUNTEST 1800 TCK; +SDR 16 TDI (7777); +RUNTEST 1800 TCK; +SDR 16 TDI (9777); +RUNTEST 1800 TCK; +SDR 16 TDI (74AB); +RUNTEST 1800 TCK; +SDR 16 TDI (5777); +RUNTEST 1800 TCK; +SDR 16 TDI (7DDD); +RUNTEST 1800 TCK; +SDR 16 TDI (BBA5); +RUNTEST 1800 TCK; +SDR 16 TDI (5DDD); +RUNTEST 1800 TCK; +SDR 16 TDI (7BDD); +RUNTEST 1800 TCK; +SDR 16 TDI (DDD2); +RUNTEST 1800 TCK; +SDR 16 TDI (B5DD); +RUNTEST 1800 TCK; +SDR 16 TDI (DDFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FDF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFEF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7DFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (B7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (DFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BF7F); +RUNTEST 1800 TCK; +SDR 16 TDI (EFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FBFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9F7F); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FE7E); +RUNTEST 1800 TCK; +SDR 16 TDI (6FF3); +RUNTEST 1800 TCK; +SDR 16 TDI (3FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FE6); +RUNTEST 1800 TCK; +SDR 16 TDI (67F9); +RUNTEST 1800 TCK; +SDR 16 TDI (53FF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFF9); +RUNTEST 1800 TCK; +SDR 16 TDI (93FF); +RUNTEST 1800 TCK; +SDR 16 TDI (F7FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (999F); +RUNTEST 1800 TCK; +SDR 16 TDI (BCCF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F9FF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FF3); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFE); +RUNTEST 1800 TCK; +SDR 16 TDI (AF9E); +RUNTEST 1800 TCK; +SDR 16 TDI (7CF9); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (F3E7); +RUNTEST 1800 TCK; +SDR 16 TDI (93E7); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5F3E); +RUNTEST 1800 TCK; +SDR 16 TDI (79F3); +RUNTEST 1800 TCK; +SDR 16 TDI (9CFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (5FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (9FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (7FFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SIR 10 TDI (203); +RUNTEST 93 TCK; +SDR 13 TDI (0001); +SIR 10 TDI (2F4); +RUNTEST 93 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +! +! +! +!VERIFY +! +! +! +SIR 10 TDI (203); +RUNTEST 93 TCK; +SDR 13 TDI (0000); +SIR 10 TDI (205); +RUNTEST 93 TCK; +SDR 16 TDI (FFFF) TDO (7FFF) MASK (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (F9FF); +SDR 16 TDI (FFFF) TDO (79FF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (AF9E); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBF7); +SDR 16 TDI (FFFF) TDO (BBF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F3E); +SDR 16 TDI (FFFF) TDO (79F3); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FE); +SDR 16 TDI (FFFF) TDO (6FEF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFDD); +SDR 16 TDI (FFFF) TDO (FBF9); +SDR 16 TDI (FFFF) TDO (733F); +SDR 16 TDI (FFFF) TDO (FF19); +SDR 16 TDI (FFFF) TDO (B33F); +SDR 16 TDI (FFFF) TDO (CCCF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (999F); +SDR 16 TDI (FFFF) TDO (BCCC); +SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEEF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (6EBF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F6FF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDB); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FE6F); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (5FF6); +SDR 16 TDI (FFFF) TDO (7FB7); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDBF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FCF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (67FA); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BCFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BBFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A65F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A65F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBAF); +SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAFF); +SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFEB); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFAF); +SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFB7); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAF7); +SDR 16 TDI (FFFF) TDO (3B33); +SDR 16 TDI (FFFF) TDO (63E6); +SDR 16 TDI (FFFF) TDO (6667); +SDR 16 TDI (FFFF) TDO (B333); +SDR 16 TDI (FFFF) TDO (31F3); +SDR 16 TDI (FFFF) TDO (6666); +SDR 16 TDI (FFFF) TDO (7CCC); +SDR 16 TDI (FFFF) TDO (B98F); +SDR 16 TDI (FFFF) TDO (9999); +SDR 16 TDI (FFFF) TDO (73CC); +SDR 16 TDI (FFFF) TDO (CCC7); +SDR 16 TDI (FFFF) TDO (B999); +SDR 16 TDI (FFFF) TDO (99E8); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7377); +SDR 16 TDI (FFFF) TDO (6957); +SDR 16 TDI (FFFF) TDO (7777); +SDR 16 TDI (FFFF) TDO (B777); +SDR 16 TDI (FFFF) TDO (74AB); +SDR 16 TDI (FFFF) TDO (7777); +SDR 16 TDI (FFFF) TDO (7DDD); +SDR 16 TDI (FFFF) TDO (BBA5); +SDR 16 TDI (FFFF) TDO (5DDD); +SDR 16 TDI (FFFF) TDO (7BDD); +SDR 16 TDI (FFFF) TDO (DDD2); +SDR 16 TDI (FFFF) TDO (B5DD); +SDR 16 TDI (FFFF) TDO (DDBF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFE7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFED); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFA); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFD); +SDR 16 TDI (FFFF) TDO (DEF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FB7F); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (DBFD); +SDR 16 TDI (FFFF) TDO (B3FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFCF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BCBF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FD7E); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDE); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BBFD); +SDR 16 TDI (FFFF) TDO (B5FF); +SDR 16 TDI (FFFF) TDO (FCFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFD7); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEA); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B6AF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FDFD); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFAF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B5FF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77F7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FD7B); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFC); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FE3F); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FEF); +SDR 16 TDI (FFFF) TDO (FFF6); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7CFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEC); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF9); +SDR 16 TDI (FFFF) TDO (A5FF); +SDR 16 TDI (FFFF) TDO (FCFB); +SDR 16 TDI (FFFF) TDO (73FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7AF); +SDR 16 TDI (FFFF) TDO (FFBC); +SDR 16 TDI (FFFF) TDO (67DF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFC3); +SDR 16 TDI (FFFF) TDO (C3EF); +SDR 16 TDI (FFFF) TDO (67E6); +SDR 16 TDI (FFFF) TDO (1FFA); +SDR 16 TDI (FFFF) TDO (ABFF); +SDR 16 TDI (FFFF) TDO (79FB); +SDR 16 TDI (FFFF) TDO (73FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFAF); +SDR 16 TDI (FFFF) TDO (FFBC); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFC3); +SDR 16 TDI (FFFF) TDO (C3FF); +SDR 16 TDI (FFFF) TDO (67E6); +SDR 16 TDI (FFFF) TDO (1FFA); +SDR 16 TDI (FFFF) TDO (B9FE); +SDR 16 TDI (FFFF) TDO (F9FB); +SDR 16 TDI (FFFF) TDO (73FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAFF); +SDR 16 TDI (FFFF) TDO (FD3C); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (FFE5); +SDR 16 TDI (FFFF) TDO (BFC3); +SDR 16 TDI (FFFF) TDO (C3FF); +SDR 16 TDI (FFFF) TDO (67E6); +SDR 16 TDI (FFFF) TDO (1FF9); +SDR 16 TDI (FFFF) TDO (B9FF); +SDR 16 TDI (FFFF) TDO (F9F9); +SDR 16 TDI (FFFF) TDO (63FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF3); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7F7); +SDR 16 TDI (FFFF) TDO (FD3C); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (FFE5); +SDR 16 TDI (FFFF) TDO (BFC3); +SDR 16 TDI (FFFF) TDO (C3FF); +SDR 16 TDI (FFFF) TDO (67E6); +SDR 16 TDI (FFFF) TDO (1FF3); +SDR 16 TDI (FFFF) TDO (B9FF); +SDR 16 TDI (FFFF) TDO (FB79); +SDR 16 TDI (FFFF) TDO (66FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BA8F); +SDR 16 TDI (FFFF) TDO (3133); +SDR 16 TDI (FFFF) TDO (73E6); +SDR 16 TDI (FFFF) TDO (6665); +SDR 16 TDI (FFFF) TDO (B33B); +SDR 16 TDI (FFFF) TDO (3973); +SDR 16 TDI (FFFF) TDO (6E66); +SDR 16 TDI (FFFF) TDO (FCCC); +SDR 16 TDI (FFFF) TDO (B98F); +SDR 16 TDI (FFFF) TDO (989B); +SDR 16 TDI (FFFF) TDO (63CC); +SDR 16 TDI (FFFF) TDO (CCC7); +SDR 16 TDI (FFFF) TDO (B999); +SDR 16 TDI (FFFF) TDO (99CA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7637); +SDR 16 TDI (FFFF) TDO (6557); +SDR 16 TDI (FFFF) TDO (7763); +SDR 16 TDI (FFFF) TDO (B773); +SDR 16 TDI (FFFF) TDO (72AB); +SDR 16 TDI (FFFF) TDO (6766); +SDR 16 TDI (FFFF) TDO (7DD9); +SDR 16 TDI (FFFF) TDO (B3B5); +SDR 16 TDI (FFFF) TDO (5DD8); +SDR 16 TDI (FFFF) TDO (79DD); +SDR 16 TDI (FFFF) TDO (DDD2); +SDR 16 TDI (FFFF) TDO (B5DD); +SDR 16 TDI (FFFF) TDO (DDDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFEB); +SDR 16 TDI (FFFF) TDO (FDFD); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (DFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFA); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDD); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BEEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFED); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFB); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFAF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FBB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (DEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F77); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFA); +SDR 16 TDI (FFFF) TDO (F9FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (AAFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F6FF); +SDR 16 TDI (FFFF) TDO (BBEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FD7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEF7); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FDBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (E7FF); +SDR 16 TDI (FFFF) TDO (BF5D); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (BBFE); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFB7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DB7F); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (B5FD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BDCD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FF3F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (D8DF); +SDR 16 TDI (FFFF) TDO (BBB2); +SDR 16 TDI (FFFF) TDO (DEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BE4D); +SDR 16 TDI (FFFF) TDO (7DEA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (AFAF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (ABFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B6AF); +SDR 16 TDI (FFFF) TDO (FFF3); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FFE1); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BE1F); +SDR 16 TDI (FFFF) TDO (7FF9); +SDR 16 TDI (FFFF) TDO (70FF); +SDR 16 TDI (FFFF) TDO (E0EF); +SDR 16 TDI (FFFF) TDO (B027); +SDR 16 TDI (FFFF) TDO (3BF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF33); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFE1); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BE1F); +SDR 16 TDI (FFFF) TDO (FFF9); +SDR 16 TDI (FFFF) TDO (70FF); +SDR 16 TDI (FFFF) TDO (E4EF); +SDR 16 TDI (FFFF) TDO (A8B9); +SDR 16 TDI (FFFF) TDO (3BFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B5AF); +SDR 16 TDI (FFFF) TDO (FCF3); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFE1); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BE1F); +SDR 16 TDI (FFFF) TDO (FFF9); +SDR 16 TDI (FFFF) TDO (70FF); +SDR 16 TDI (FFFF) TDO (1F4F); +SDR 16 TDI (FFFF) TDO (BFCF); +SDR 16 TDI (FFFF) TDO (9475); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FC33); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFE1); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BE1F); +SDR 16 TDI (FFFF) TDO (FFF9); +SDR 16 TDI (FFFF) TDO (70FF); +SDR 16 TDI (FFFF) TDO (174F); +SDR 16 TDI (FFFF) TDO (BEC8); +SDR 16 TDI (FFFF) TDO (1477); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BABF); +SDR 16 TDI (FFFF) TDO (31B3); +SDR 16 TDI (FFFF) TDO (62E6); +SDR 16 TDI (FFFF) TDO (6667); +SDR 16 TDI (FFFF) TDO (B333); +SDR 16 TDI (FFFF) TDO (31F3); +SDR 16 TDI (FFFF) TDO (6666); +SDR 16 TDI (FFFF) TDO (7CCC); +SDR 16 TDI (FFFF) TDO (B9CB); +SDR 16 TDI (FFFF) TDO (999B); +SDR 16 TDI (FFFF) TDO (73CC); +SDR 16 TDI (FFFF) TDO (6C67); +SDR 16 TDI (FFFF) TDO (B998); +SDR 16 TDI (FFFF) TDO (B37A); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (7633); +SDR 16 TDI (FFFF) TDO (6D57); +SDR 16 TDI (FFFF) TDO (7767); +SDR 16 TDI (FFFF) TDO (B777); +SDR 16 TDI (FFFF) TDO (74AB); +SDR 16 TDI (FFFF) TDO (7777); +SDR 16 TDI (FFFF) TDO (7DDD); +SDR 16 TDI (FFFF) TDO (BB95); +SDR 16 TDI (FFFF) TDO (5DD9); +SDR 16 TDI (FFFF) TDO (73DD); +SDR 16 TDI (FFFF) TDO (C9CA); +SDR 16 TDI (FFFF) TDO (B4CD); +SDR 16 TDI (FFFF) TDO (99FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (6F6F); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (B36F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7DBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (BEBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFDD); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFA); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7DF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFDB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFAF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFCF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF5F); +SDR 16 TDI (FFFF) TDO (F7EB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFD7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EF7F); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FF6F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF6F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B9FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFAF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B9AF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (6DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEE); +SDR 16 TDI (FFFF) TDO (79FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B5FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (DDDF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFAC); +SDR 16 TDI (FFFF) TDO (3C3F); +SDR 16 TDI (FFFF) TDO (7DDF); +SDR 16 TDI (FFFF) TDO (FFE1); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFC); +SDR 16 TDI (FFFF) TDO (BE1F); +SDR 16 TDI (FFFF) TDO (0781); +SDR 16 TDI (FFFF) TDO (7CFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDAC); +SDR 16 TDI (FFFF) TDO (3C3F); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFE1); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFC); +SDR 16 TDI (FFFF) TDO (BE1B); +SDR 16 TDI (FFFF) TDO (8781); +SDR 16 TDI (FFFF) TDO (7CFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFC); +SDR 16 TDI (FFFF) TDO (3C3F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFE1); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFC); +SDR 16 TDI (FFFF) TDO (BE0F); +SDR 16 TDI (FFFF) TDO (E001); +SDR 16 TDI (FFFF) TDO (70FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFC); +SDR 16 TDI (FFFF) TDO (3C3F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFE1); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFC); +SDR 16 TDI (FFFF) TDO (BE1F); +SDR 16 TDI (FFFF) TDO (E001); +SDR 16 TDI (FFFF) TDO (70FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAA7); +SDR 16 TDI (FFFF) TDO (B3B3); +SDR 16 TDI (FFFF) TDO (63E6); +SDR 16 TDI (FFFF) TDO (666F); +SDR 16 TDI (FFFF) TDO (B333); +SDR 16 TDI (FFFF) TDO (31F3); +SDR 16 TDI (FFFF) TDO (6666); +SDR 16 TDI (FFFF) TDO (7CCC); +SDR 16 TDI (FFFF) TDO (B99F); +SDR 16 TDI (FFFF) TDO (8B9B); +SDR 16 TDI (FFFF) TDO (71CC); +SDR 16 TDI (FFFF) TDO (CCC7); +SDR 16 TDI (FFFF) TDO (B999); +SDR 16 TDI (FFFF) TDO (99EE); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (3737); +SDR 16 TDI (FFFF) TDO (6D57); +SDR 16 TDI (FFFF) TDO (7767); +SDR 16 TDI (FFFF) TDO (B777); +SDR 16 TDI (FFFF) TDO (74AB); +SDR 16 TDI (FFFF) TDO (7777); +SDR 16 TDI (FFFF) TDO (7DDC); +SDR 16 TDI (FFFF) TDO (BB95); +SDR 16 TDI (FFFF) TDO (5999); +SDR 16 TDI (FFFF) TDO (7BDD); +SDR 16 TDI (FFFF) TDO (DDD2); +SDR 16 TDI (FFFF) TDO (B5DD); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF9); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (3FF7); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (AFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDF6); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7EF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEDB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BD9F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B9AF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEAF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B56C); +SDR 16 TDI (FFFF) TDO (3FFF); +SDR 16 TDI (FFFF) TDO (7DDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFF4); +SDR 16 TDI (FFFF) TDO (79FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (3FFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B66C); +SDR 16 TDI (FFFF) TDO (3FFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (5BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (3FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEAF); +SDR 16 TDI (FFFF) TDO (B333); +SDR 16 TDI (FFFF) TDO (63E6); +SDR 16 TDI (FFFF) TDO (6667); +SDR 16 TDI (FFFF) TDO (B333); +SDR 16 TDI (FFFF) TDO (31F3); +SDR 16 TDI (FFFF) TDO (4666); +SDR 16 TDI (FFFF) TDO (7CCC); +SDR 16 TDI (FFFF) TDO (B98F); +SDR 16 TDI (FFFF) TDO (9999); +SDR 16 TDI (FFFF) TDO (73CC); +SDR 16 TDI (FFFF) TDO (CCC7); +SDR 16 TDI (FFFF) TDO (B999); +SDR 16 TDI (FFFF) TDO (99FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (3777); +SDR 16 TDI (FFFF) TDO (6D57); +SDR 16 TDI (FFFF) TDO (7777); +SDR 16 TDI (FFFF) TDO (B777); +SDR 16 TDI (FFFF) TDO (74AB); +SDR 16 TDI (FFFF) TDO (7777); +SDR 16 TDI (FFFF) TDO (7DDD); +SDR 16 TDI (FFFF) TDO (BBA5); +SDR 16 TDI (FFFF) TDO (5DDD); +SDR 16 TDI (FFFF) TDO (7BDD); +SDR 16 TDI (FFFF) TDO (DDD2); +SDR 16 TDI (FFFF) TDO (B5DD); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (97FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (4FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (8FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5F7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (8FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A55F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A55F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (79FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AAAF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9BBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEA); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A65F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (975F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (3333); +SDR 16 TDI (FFFF) TDO (63E6); +SDR 16 TDI (FFFF) TDO (6667); +SDR 16 TDI (FFFF) TDO (9333); +SDR 16 TDI (FFFF) TDO (31F3); +SDR 16 TDI (FFFF) TDO (6666); +SDR 16 TDI (FFFF) TDO (7CCC); +SDR 16 TDI (FFFF) TDO (B98F); +SDR 16 TDI (FFFF) TDO (9999); +SDR 16 TDI (FFFF) TDO (73CC); +SDR 16 TDI (FFFF) TDO (CCC7); +SDR 16 TDI (FFFF) TDO (B999); +SDR 16 TDI (FFFF) TDO (99FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (7777); +SDR 16 TDI (FFFF) TDO (6957); +SDR 16 TDI (FFFF) TDO (7777); +SDR 16 TDI (FFFF) TDO (9777); +SDR 16 TDI (FFFF) TDO (74AB); +SDR 16 TDI (FFFF) TDO (5777); +SDR 16 TDI (FFFF) TDO (7DDD); +SDR 16 TDI (FFFF) TDO (BBA5); +SDR 16 TDI (FFFF) TDO (5DDD); +SDR 16 TDI (FFFF) TDO (7BDD); +SDR 16 TDI (FFFF) TDO (DDD2); +SDR 16 TDI (FFFF) TDO (B5DD); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9F7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FE7E); +SDR 16 TDI (FFFF) TDO (6FF3); +SDR 16 TDI (FFFF) TDO (3FFF); +SDR 16 TDI (FFFF) TDO (9FE6); +SDR 16 TDI (FFFF) TDO (67F9); +SDR 16 TDI (FFFF) TDO (53FF); +SDR 16 TDI (FFFF) TDO (FFF9); +SDR 16 TDI (FFFF) TDO (93FF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (999F); +SDR 16 TDI (FFFF) TDO (BCCF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (F9FF); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (AF9E); +SDR 16 TDI (FFFF) TDO (7CF9); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F3E7); +SDR 16 TDI (FFFF) TDO (93E7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5F3E); +SDR 16 TDI (FFFF) TDO (79F3); +SDR 16 TDI (FFFF) TDO (9CFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SIR 10 TDI (203); +RUNTEST 93 TCK; +SDR 13 TDI (0001); +SIR 10 TDI (205); +RUNTEST 93 TCK; +SDR 16 TDI (FFFF) TDO (FFFF) MASK (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SIR 10 TDI (203); +RUNTEST 93 TCK; +SDR 13 TDI (0000); +SIR 10 TDI (2F4); +RUNTEST 93 TCK; +SDR 16 TDI (7BFF); +RUNTEST 1800 TCK; +SDR 16 TDI (FFFF); +RUNTEST 1800 TCK; +SDR 16 TDI (BFFC); +RUNTEST 1800 TCK; +SDR 16 TDI (F9FF); +RUNTEST 1800 TCK; +SIR 10 TDI (201); +RUNTEST 18003 TCK; +SIR 10 TDI (3FF); +RUNTEST 18000 TCK; +STATE IDLE; diff --git a/hardware/portapack_h4m/CPLD/AG256SL100/portapack_h4m_cpld.qpf b/hardware/portapack_h4m/CPLD/AG256SL100/portapack_h4m_cpld.qpf new file mode 100644 index 00000000..2378c009 --- /dev/null +++ b/hardware/portapack_h4m/CPLD/AG256SL100/portapack_h4m_cpld.qpf @@ -0,0 +1,30 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2014 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 32-bit +# Version 13.1.4 Build 182 03/12/2014 SJ Web Edition +# Date created = 21:24:55 April 29, 2014 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "13.1" +DATE = "21:24:55 April 29, 2014" + +# Revisions + +PROJECT_REVISION = "portapack_h4m_cpld" diff --git a/hardware/portapack_h4m/CPLD/AG256SL100/portapack_h4m_cpld.qsf b/hardware/portapack_h4m/CPLD/AG256SL100/portapack_h4m_cpld.qsf new file mode 100644 index 00000000..697b7004 --- /dev/null +++ b/hardware/portapack_h4m/CPLD/AG256SL100/portapack_h4m_cpld.qsf @@ -0,0 +1,352 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2014 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus II 32-bit +# Version 13.1.4 Build 182 03/12/2014 SJ Web Edition +# Date created = 21:24:55 April 29, 2014 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# portapack_h4m_cpld_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX II" +set_global_assignment -name DEVICE EPM240T100C5 +set_global_assignment -name TOP_LEVEL_ENTITY top +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.1 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "21:24:55 APRIL 29, 2014" +set_global_assignment -name LAST_QUARTUS_VERSION "22.1std.1 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 100 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1" +set_global_assignment -name EDA_SIMULATION_TOOL "Questa Intel FPGA (VHDL)" +set_global_assignment -name EDA_NETLIST_WRITER_OUTPUT_DIR simulation/modelsim -section_id eda_simulation +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation +set_global_assignment -name VHDL_INPUT_VERSION VHDL_2008 +set_global_assignment -name VHDL_SHOW_LMF_MAPPING_MESSAGES OFF +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to SW_D +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to SW_L +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to SW_R +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to SW_ROT_A +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to SW_ROT_B +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to SW_SEL +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to SW_U +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to TP_D +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to TP_L +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to TP_R +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to TP_U +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_RDX +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_RS +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_TE +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_WRX +set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS TEST_BENCH_MODE -section_id eda_simulation +set_global_assignment -name EDA_NATIVELINK_SIMULATION_TEST_BENCH top_tb -section_id eda_simulation +set_global_assignment -name EDA_TEST_BENCH_NAME top_tb -section_id eda_simulation +set_global_assignment -name EDA_DESIGN_INSTANCE_NAME uut -section_id top_tb +set_global_assignment -name EDA_TEST_BENCH_MODULE_NAME top_tb -section_id top_tb +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR" +set_global_assignment -name EDA_TEST_BENCH_RUN_SIM_FOR "500 ns" -section_id top_tb +set_global_assignment -name EDA_TEST_BENCH_FILE top_tb.vhd -section_id top_tb +set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "BSDL (Boundary Scan)" +set_global_assignment -name EDA_NETLIST_WRITER_OUTPUT_DIR /home/jboone/src/portapack/portapack_hackrf/hardware/portapack_h2/cpld -section_id eda_board_design_boundary_scan +set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION POST_CONFIG -section_id eda_board_design_boundary_scan +set_global_assignment -name AUTO_RESTART_CONFIGURATION OFF +set_global_assignment -name ENABLE_CONFIGURATION_PINS OFF +set_global_assignment -name ENABLE_NCE_PIN OFF +set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF +set_global_assignment -name USE_CONFIGURATION_DEVICE ON +set_global_assignment -name GENERATE_RBF_FILE OFF +set_global_assignment -name GENERATE_SVF_FILE ON +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED WITH BUS-HOLD" +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_RESETX +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_ADDR +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_D[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_D[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_D[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_D[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_D[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_D[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_D[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_D[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_DIR +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SW_D +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SW_L +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SW_R +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SW_U +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SW_ROT_B +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SW_SEL +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SW_ROT_A +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[15] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[14] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[13] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[12] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[11] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[10] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[9] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[8] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[7] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[6] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[5] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[4] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[3] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[2] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_RDX +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_RESETX +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_RS +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_TE +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_WRX +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_ADDR +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_D[7] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_D[6] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_D[5] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_D[4] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_D[3] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_D[2] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_D[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_D[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_DIR +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SW_D +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SW_L +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SW_R +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SW_ROT_A +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SW_ROT_B +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SW_SEL +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SW_U +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to TP_D +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to TP_L +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to TP_R +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to TP_U +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[15] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[14] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[13] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[12] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[11] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[10] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[9] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[8] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[7] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[6] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[5] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[4] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[3] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[2] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[1] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_DB[0] +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_RDX +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_RESETX +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_RS +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_TE +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_WRX +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_ADDR +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_D[7] +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_D[6] +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_D[5] +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_D[4] +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_D[3] +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_D[2] +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_D[1] +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_D[0] +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_DIR +set_instance_assignment -name SLOW_SLEW_RATE ON -to SW_D +set_instance_assignment -name SLOW_SLEW_RATE ON -to SW_L +set_instance_assignment -name SLOW_SLEW_RATE ON -to SW_R +set_instance_assignment -name SLOW_SLEW_RATE ON -to SW_ROT_A +set_instance_assignment -name SLOW_SLEW_RATE ON -to SW_ROT_B +set_instance_assignment -name SLOW_SLEW_RATE ON -to SW_SEL +set_instance_assignment -name SLOW_SLEW_RATE ON -to SW_U +set_instance_assignment -name SLOW_SLEW_RATE ON -to TP_D +set_instance_assignment -name SLOW_SLEW_RATE ON -to TP_L +set_instance_assignment -name SLOW_SLEW_RATE ON -to TP_R +set_instance_assignment -name SLOW_SLEW_RATE ON -to TP_U +set_global_assignment -name ENABLE_DEVICE_WIDE_RESET OFF +set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 2 +set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 1 +set_instance_assignment -name PCI_IO OFF -to MCU_DIR +set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER ON +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_BACKLIGHT +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_BACKLIGHT +set_instance_assignment -name SLOW_SLEW_RATE ON -to LCD_BACKLIGHT +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to AUDIO_RESETX +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to AUDIO_RESETX +set_instance_assignment -name SLOW_SLEW_RATE ON -to AUDIO_RESETX +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_LCD_RDX +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_LCD_RDX +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_LCD_RDX +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_LCD_WRX +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_LCD_WRX +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_LCD_WRX +set_instance_assignment -name PCI_IO OFF -to MCU_LCD_WRX +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_IO_STBX +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_IO_STBX +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_IO_STBX +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to TP_R +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to TP_D +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to TP_L +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to TP_U +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_LCD_TE +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_LCD_TE +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_LCD_TE +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_P2_8 +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_P2_8 +set_instance_assignment -name SLOW_SLEW_RATE ON -to MCU_P2_8 +set_instance_assignment -name PCI_IO OFF -to MCU_P2_8 +set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL + +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to LCD_DB[15] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to LCD_DB[14] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to LCD_DB[13] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to LCD_DB[12] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to LCD_DB[11] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to LCD_DB[10] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to LCD_DB[9] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to LCD_DB[8] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to LCD_DB[7] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to LCD_DB[6] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to LCD_DB[5] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to LCD_DB[4] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to LCD_DB[3] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to LCD_DB[2] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to LCD_DB[1] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to LCD_DB[0] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to MCU_D[7] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to MCU_D[6] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to MCU_D[5] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to MCU_D[4] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to MCU_D[3] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to MCU_D[2] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to MCU_D[1] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to MCU_D[0] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to MCU_ADDR +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to MCU_DIR +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to MCU_IO_STBX +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to MCU_LCD_RDX +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to MCU_LCD_WRX +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to MCU_P2_8 + +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to GPS_TIMEPULSE +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to GPS_TIMEPULSE +set_instance_assignment -name SLOW_SLEW_RATE ON -to GPS_TIMEPULSE +set_instance_assignment -name PCI_IO OFF -to GPS_TIMEPULSE +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to GPS_TIMEPULSE + +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to GPS_TX_READY +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to GPS_TX_READY +set_instance_assignment -name SLOW_SLEW_RATE ON -to GPS_TX_READY +set_instance_assignment -name PCI_IO OFF -to GPS_TX_READY +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to GPS_TX_READY + +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to REF_EN +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to REF_EN +set_instance_assignment -name SLOW_SLEW_RATE ON -to REF_EN + +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to GPS_RESETX +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to GPS_RESETX +set_instance_assignment -name SLOW_SLEW_RATE ON -to GPS_RESETX + +set_location_assignment PIN_58 -to REF_EN +set_location_assignment PIN_72 -to MCU_DIR +set_location_assignment PIN_41 -to MCU_IO_STBX +set_location_assignment PIN_40 -to MCU_LCD_TE +set_location_assignment PIN_43 -to MCU_P2_8 +set_location_assignment PIN_71 -to MCU_LCD_WRX +set_location_assignment PIN_42 -to MCU_ADDR +set_location_assignment PIN_39 -to MCU_LCD_RDX +set_location_assignment PIN_35 -to MCU_D[0] +set_location_assignment PIN_33 -to MCU_D[2] +set_location_assignment PIN_28 -to MCU_D[4] +set_location_assignment PIN_27 -to MCU_D[6] +set_location_assignment PIN_8 -to TP_U +set_location_assignment PIN_7 -to TP_L +set_location_assignment PIN_6 -to TP_D +set_location_assignment PIN_5 -to TP_R +set_location_assignment PIN_26 -to MCU_D[7] +set_location_assignment PIN_29 -to MCU_D[5] +set_location_assignment PIN_30 -to MCU_D[3] +set_location_assignment PIN_36 -to MCU_D[1] +set_location_assignment PIN_73 -to GPS_RESETX +set_location_assignment PIN_75 -to GPS_TX_READY +set_location_assignment PIN_74 -to GPS_TIMEPULSE +set_location_assignment PIN_34 -to SW_U +set_location_assignment PIN_37 -to SW_L +set_location_assignment PIN_17 -to SW_SEL +set_location_assignment PIN_12 -to SW_R +set_location_assignment PIN_14 -to SW_D +set_location_assignment PIN_15 -to SW_ROT_A +set_location_assignment PIN_16 -to SW_ROT_B +set_location_assignment PIN_99 -to LCD_DB[0] +set_location_assignment PIN_98 -to LCD_DB[1] +set_location_assignment PIN_97 -to LCD_DB[2] +set_location_assignment PIN_96 -to LCD_DB[3] +set_location_assignment PIN_95 -to LCD_DB[4] +set_location_assignment PIN_92 -to LCD_DB[5] +set_location_assignment PIN_91 -to LCD_DB[6] +set_location_assignment PIN_90 -to LCD_DB[7] +set_location_assignment PIN_89 -to LCD_DB[8] +set_location_assignment PIN_88 -to LCD_DB[9] +set_location_assignment PIN_87 -to LCD_DB[10] +set_location_assignment PIN_86 -to LCD_DB[11] +set_location_assignment PIN_85 -to LCD_DB[12] +set_location_assignment PIN_84 -to LCD_DB[13] +set_location_assignment PIN_83 -to LCD_DB[14] +set_location_assignment PIN_82 -to LCD_DB[15] +set_location_assignment PIN_100 -to LCD_RESETX +set_location_assignment PIN_1 -to LCD_RDX +set_location_assignment PIN_3 -to LCD_RS +set_location_assignment PIN_2 -to LCD_WRX +set_location_assignment PIN_76 -to LCD_BACKLIGHT +set_location_assignment PIN_4 -to LCD_TE +set_global_assignment -name ENABLE_OCT_DONE OFF +set_location_assignment PIN_38 -to DEVICE_RESET_V +set_location_assignment PIN_44 -to DEVICE_RESET +set_global_assignment -name SDC_FILE portapack_h4m_cpld.sdc +set_global_assignment -name VHDL_FILE top.vhd +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SYSOFF +set_location_assignment PIN_47 -to SYSOFF +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SYSOFF \ No newline at end of file diff --git a/hardware/portapack_h4m/CPLD/AG256SL100/portapack_h4m_cpld.sdc b/hardware/portapack_h4m/CPLD/AG256SL100/portapack_h4m_cpld.sdc new file mode 100644 index 00000000..5546679a --- /dev/null +++ b/hardware/portapack_h4m/CPLD/AG256SL100/portapack_h4m_cpld.sdc @@ -0,0 +1,116 @@ +## Generated SDC file "portapack_hackrf_one_cpld.sdc" + +## Copyright (C) 1991-2014 Altera Corporation +## Your use of Altera Corporation's design tools, logic functions +## and other software and tools, and its AMPP partner logic +## functions, and any output files from any of the foregoing +## (including device programming or simulation files), and any +## associated documentation or information are expressly subject +## to the terms and conditions of the Altera Program License +## Subscription Agreement, Altera MegaCore Function License +## Agreement, or other applicable license agreement, including, +## without limitation, that your use is for the sole purpose of +## programming logic devices manufactured by Altera and sold by +## Altera or its authorized distributors. Please refer to the +## applicable agreement for further details. + + +## VENDOR "Altera" +## PROGRAM "Quartus II" +## VERSION "Version 13.1.4 Build 182 03/12/2014 SJ Web Edition" + +## DATE "Sat May 3 10:22:18 2014" + +## +## DEVICE "5M40ZE64C5" +## + +# RS = 0, D = DB[15:8] +# wait max(tast = 0 ns, CPLD setup = ?) +# WR = 0, D = DB[7:0] +# wait max(CPLD ) + +#************************************************************** +# Time Information +#************************************************************** + +set_time_format -unit ns -decimal_places 3 + +set mcu_clk_period 4.9 + +set lcd_data_wr_setup 10.0 +set lcd_data_wr_hold 10.0 + +#************************************************************** +# Create Clock +#************************************************************** + +create_clock -name {MCU_LCD_WRX} -period 66.000 -waveform { 0.000 33.000 } [get_ports {MCU_LCD_WRX}] +#create_clock -name strobe_virt -period 66.000 + +#************************************************************** +# Create Generated Clock +#************************************************************** + + + +#************************************************************** +# Set Clock Latency +#************************************************************** + + + +#************************************************************** +# Set Clock Uncertainty +#************************************************************** + + + +#************************************************************** +# Set Input Delay +#************************************************************** + +#set_input_delay -clock strobe_virt [get_ports {D[*]}] + +#************************************************************** +# Set Output Delay +#************************************************************** + + + +#************************************************************** +# Set Clock Groups +#************************************************************** + + + +#************************************************************** +# Set False Path +#************************************************************** + +#set_false_path -from [get_clocks {MCU_IO_STBX}] -to [get_ports {TP_D TP_L TP_R TP_U}] +#set_false_path -from [get_ports {SW_D SW_L SW_R SW_ROT_A SW_ROT_B SW_SEL SW_U}] -to [get_ports {MCU_D[*]}] + + +#************************************************************** +# Set Multicycle Path +#************************************************************** + + + +#************************************************************** +# Set Maximum Delay +#************************************************************** + + + +#************************************************************** +# Set Minimum Delay +#************************************************************** + + + +#************************************************************** +# Set Input Transition +#************************************************************** + diff --git a/hardware/portapack_h4m/CPLD/AG256SL100/quartus.ini_ignore b/hardware/portapack_h4m/CPLD/AG256SL100/quartus.ini_ignore new file mode 100644 index 00000000..edc6cbd0 --- /dev/null +++ b/hardware/portapack_h4m/CPLD/AG256SL100/quartus.ini_ignore @@ -0,0 +1 @@ +dft_skip_oct_vccn_check = on \ No newline at end of file diff --git a/hardware/portapack_h4m/CPLD/AG256SL100/top.vhd b/hardware/portapack_h4m/CPLD/AG256SL100/top.vhd new file mode 100644 index 00000000..88661184 --- /dev/null +++ b/hardware/portapack_h4m/CPLD/AG256SL100/top.vhd @@ -0,0 +1,207 @@ +-- +-- Copyright (C) 2012 Jared Boone, ShareBrained Technology, Inc. +-- Copyright (C) 2024 jLynx.net https://github.com/jLynx +-- +-- This file is part of PortaPack. +-- +-- This program is free software; you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 2, or (at your option) +-- any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program; see the file COPYING. If not, write to +-- the Free Software Foundation, Inc., 51 Franklin Street, +-- Boston, MA 02110-1301, USA. + +library ieee; +use ieee.std_logic_1164.all; + +entity top is + port ( + MCU_D : inout std_logic_vector(7 downto 0); + MCU_DIR : in std_logic; + MCU_IO_STBX : in std_logic; + MCU_LCD_WRX : in std_logic; + MCU_ADDR : in std_logic; + MCU_LCD_TE : out std_logic; + MCU_P2_8 : in std_logic; + MCU_LCD_RDX : in std_logic; + + TP_U : out std_logic; + TP_D : out std_logic; + TP_L : out std_logic; + TP_R : out std_logic; + + SW_SEL : in std_logic; + SW_ROT_A : in std_logic; + SW_ROT_B : in std_logic; + SW_U : in std_logic; + SW_D : in std_logic; + SW_L : in std_logic; + SW_R : in std_logic; + + LCD_RESETX : out std_logic; + LCD_RS : out std_logic; + LCD_WRX : out std_logic; + LCD_RDX : out std_logic; + LCD_DB : inout std_logic_vector(15 downto 0); + LCD_TE : in std_logic; + LCD_BACKLIGHT : out std_logic; + + SYSOFF : out std_logic; + + AUDIO_RESETX : out std_logic; + + REF_EN : out std_logic; + + GPS_RESETX : out std_logic; + GPS_TX_READY : in std_logic; + GPS_TIMEPULSE : in std_logic; + + DEVICE_RESET : in std_logic; + DEVICE_RESET_V : in std_logic + ); +end top; + +architecture rtl of top is + + signal switches : std_logic_vector(7 downto 0); + + type data_direction_t is (from_mcu, to_mcu); + signal data_dir : data_direction_t; + + signal mcu_data_out_lcd : std_logic_vector(7 downto 0); + signal mcu_data_out_io : std_logic_vector(7 downto 0); + signal mcu_data_out : std_logic_vector(7 downto 0); + signal mcu_data_in : std_logic_vector(7 downto 0); + + signal lcd_data_in : std_logic_vector(15 downto 0); + signal lcd_data_in_mux : std_logic_vector(7 downto 0); + signal lcd_data_out : std_logic_vector(15 downto 0); + + signal lcd_data_in_q : std_logic_vector(7 downto 0) := (others => '0'); + signal lcd_data_out_q : std_logic_vector(7 downto 0) := (others => '0'); + + signal tp_q : std_logic_vector(7 downto 0) := (others => '0'); + + signal lcd_reset_q : std_logic := '1'; + signal lcd_backlight_q : std_logic := '0'; + + signal sysoff_q : std_logic := '0'; + + signal audio_reset_q : std_logic := '1'; + + signal ref_en_q : std_logic := '0'; + + signal device_reset_q : std_logic := '1'; + + signal dir_read : boolean; + signal dir_write : boolean; + + signal lcd_read_strobe : boolean; + signal lcd_write_strobe : boolean; + signal lcd_write : boolean; + + signal io_strobe : boolean; + signal io_read_strobe : boolean; + signal io_write_strobe : boolean; + + signal reset_flag: boolean := false; + signal reset_sync: std_logic := '1'; + signal counter: integer range 0 to 25000000 := 0; -- Adjust the count value for desired delay (e.g., 1 second with a 25 MHz clock) + + constant COUNTER_MAX: integer := 25000000; -- Adjust this value to match the counter range + +begin + + -- I/O data + switches <= LCD_TE & not SW_ROT_B & not SW_ROT_A & not SW_SEL & not SW_U & not SW_D & not SW_L & not SW_R; + + TP_U <= tp_q(3) when tp_q(7) = '1' else 'Z'; + TP_D <= tp_q(2) when tp_q(6) = '1' else 'Z'; + TP_L <= tp_q(1) when tp_q(5) = '1' else 'Z'; + TP_R <= tp_q(0) when tp_q(4) = '1' else 'Z'; + + LCD_BACKLIGHT <= lcd_backlight_q; + + SYSOFF <= sysoff_q; + + MCU_LCD_TE <= LCD_TE; + + + -- State management + data_dir <= to_mcu when MCU_DIR = '1' else from_mcu; + dir_read <= (data_dir = to_mcu); + dir_write <= (data_dir = from_mcu); + + io_strobe <= (MCU_IO_STBX = '0'); + io_read_strobe <= io_strobe and dir_read; + + lcd_read_strobe <= (MCU_LCD_RDX = '0'); + lcd_write <= not lcd_read_strobe; + + -- LCD interface + LCD_RS <= MCU_ADDR; + LCD_RDX <= MCU_LCD_RDX; + LCD_WRX <= MCU_LCD_WRX; + + lcd_data_out <= lcd_data_out_q & mcu_data_in; + lcd_data_in <= LCD_DB; + LCD_DB <= lcd_data_out when lcd_write else (others => 'Z'); + + -- Reference clock + REF_EN <= ref_en_q; + + -- Peripheral reset control + LCD_RESETX <= not lcd_reset_q; + AUDIO_RESETX <= not audio_reset_q; + GPS_RESETX <= '1'; + + -- MCU interface + mcu_data_out_lcd <= lcd_data_in(15 downto 8) when lcd_read_strobe else lcd_data_in_q; + mcu_data_out_io <= switches; + mcu_data_out <= mcu_data_out_io when io_read_strobe else mcu_data_out_lcd; + + mcu_data_in <= MCU_D; + MCU_D <= mcu_data_out when dir_read else (others => 'Z'); + + -- Synchronous behaviors: + -- LCD write: Capture LCD high byte on LCD_WRX falling edge. + process(MCU_LCD_WRX, mcu_data_in) + begin + if falling_edge(MCU_LCD_WRX) then + lcd_data_out_q <= mcu_data_in; + end if; + end process; + + -- LCD read: Capture LCD low byte on LCD_RD falling edge. + process(MCU_LCD_RDX, lcd_data_in) + begin + if rising_edge(MCU_LCD_RDX) then + lcd_data_in_q <= lcd_data_in(7 downto 0); + end if; + end process; + + -- I/O write (to resistive touch panel): Capture data from + -- MCU and hold on TP pins until further notice. + process(MCU_IO_STBX, dir_write, mcu_data_in, MCU_ADDR) + begin + if rising_edge(MCU_IO_STBX) and dir_write then + if MCU_ADDR = '0' then + tp_q <= mcu_data_in; + else + lcd_reset_q <= mcu_data_in(0); + audio_reset_q <= mcu_data_in(1); + ref_en_q <= mcu_data_in(6); + lcd_backlight_q <= mcu_data_in(7); + sysoff_q <= mcu_data_in(2); + end if; + end if; + end process; +end rtl; \ No newline at end of file diff --git a/hardware/portapack_h4m/CPLD/README.md b/hardware/portapack_h4m/CPLD/README.md new file mode 100644 index 00000000..ee1b5fb5 --- /dev/null +++ b/hardware/portapack_h4m/CPLD/README.md @@ -0,0 +1,58 @@ +# Project Setup Guide + +## Prerequisites + +- Intel Quartus Prime +- Supra-2023.02.b0-7773ca8a-win64-all + +## Installation Steps + +1. **Project Setup** + +- Create a new AG256SL100 project in Quartus Prime +- (Alternatively, copy an existing project) + +2. **Code Implementation** + +- Implement your code in the project +- Compile the project to verify there are no errors +- Ensure successful compilation before proceeding + +3. **Supra Configuration** + +- Launch Supra.exe +- Navigate to: File → Project → Open Project +- Select the H4M project when prompted +- Select Tools → Migrate → Next + +4. **Additional Setup** + +- Follow the on-screen prompts +- You will need to: + - Open a second Quartus project + - Execute a provided script + +5. **Programming** + +- In Supra, go to: Tools → Program +- Click "Query device ID" +- Verify the returned ID is: 0x00025610 + +6. **File Selection** + +- Select the programming file (if not automatically loaded) +- Use the .prg file from the Supra src folder (non-SRAM version) + +7. **Programming Process** + +- Click "Program" to begin +- Note: The counter shows elapsed seconds, not progress percentage +- Programming is complete when "USB driver disconnected" message appears + +## Troubleshooting + +If you encounter any issues, ensure: + +- All prerequisites are properly installed +- Project configurations are correct +- Device connections are secure \ No newline at end of file diff --git a/hardware/portapack_h4m/CPLD/Supra/H4M.proj b/hardware/portapack_h4m/CPLD/Supra/H4M.proj new file mode 100644 index 00000000..21d3c746 --- /dev/null +++ b/hardware/portapack_h4m/CPLD/Supra/H4M.proj @@ -0,0 +1,62 @@ +[GuiMigrateSetupPage] +fromDir=../AG256SL100 +design=portapack_h4m_cpld +device=AG256SL100 +veFile= +ipFiles= +backwardCompatible=false +modeGroup=false +modeQuartus=true +modeSynplicity=false +modeNative=false + +[GuiMigrateRunPage] +isMC=false +count= +jobs= +seed= +retry=0 +fitting=0 +fitter=0 +effort=0 +holdx=0 +skew=0 +skope=0 +preset=0 +adjust=0 +target=0 +tuning=0 +corner=0 +flow=0 +orgPlace=false +quartusSdc=false +probeForce=false +probeState=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x1\0\0\0\x1\0\0\0\x2\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\x2m\0\0\0\x2\0\x1\x1\x1\0\0\0\0\0\0\0\0\0\0\0\0\x64\0\0\0K\0\0\0\x4\0\0\0\0\0\0\0\x2\0\0\x1\xc2\0\0\0\x1\0\0\0\0\0\0\0\xab\0\0\0\x1\0\0\0\0) +probeCount=5 +probe0From= +probe0Pad= +probe1From= +probe1Pad= +probe2From= +probe2Pad= +probe3From= +probe3Pad= +probe4From= +probe4Pad= + +[GuiProgramScreen] +hardwareId=0 +blasterSpeed=70 +prgFile=portapack_h4m_cpld.prg +eraseBox=false +cable=0 +runAction=program +eraseChip=true +eraseFrom= +eraseTo= +binFile= +readFrom= +readTo= + +[MainWindow] +recentFile.0= diff --git a/hardware/portapack_h4m/CPLD/Supra/af_batch.tcl b/hardware/portapack_h4m/CPLD/Supra/af_batch.tcl new file mode 100644 index 00000000..51b040ae --- /dev/null +++ b/hardware/portapack_h4m/CPLD/Supra/af_batch.tcl @@ -0,0 +1,114 @@ +if {![info exist MODE ]} {set MODE QUARTUS} +if {![info exists QUARTUS_SDC]} {set QUARTUS_SDC true} +if {![info exists CORNER]} {set CORNER ""} +if {![info exist COUNT]} {set COUNT 6} +if {![info exist JOBS ]} {set JOBS 1} + +if {![info exist SEEDS ]} {set SEEDS {0 0 0 0 666 888 }} +if {![info exist EFFORTS ]} {set EFFORTS {highest highest highest highest high high }} +if {![info exist FITTERS ]} {set FITTERS {hybrid hybrid hybrid hybrid hybrid hybrid }} +if {![info exist FITTINGS]} {set FITTINGS {timing_more timing_more timing_more timing timing basic }} +if {![info exist SKEWS ]} {set SKEWS {advanced advanced advanced advanced aggressive basic }} +if {![info exist HOLDXS ]} {set HOLDXS {default default default default default default}} + +set bc_config "./bc_config.txt" +if { [file exists $bc_config] } { + alta::tcl_highlight "Using MC config $bc_config.\n" + source "$bc_config" +} + +####################################################################### + +proc get_rand_value { values } { + if {[llength $values] == 0} { return {} } + return [lindex $values [expr {int(rand()*10000)%[llength $values]}]] +} + +set results "bc_results" +set summary "bc_summary.txt" +file delete -force $results; file mkdir $results +file delete $summary; print -nonewline "" >! $summary + +set is_parallel [expr $JOBS > 1] +set is_color ""; set is_gui ""; set is_quiet "" +if { $is_parallel } { + set is_gui "--quiet" +} else { + if { [alta::tcl_is_color] } { set is_color "--color" } + if { [alta::tcl_is_gui ] } { set is_gui "--gui" } +} + +####################################################################### + +set progs {} +set titles {} +for {set id 1} {$id <= $COUNT} {incr id} { +set result_dir "$results/$id" +file mkdir $result_dir + +set seed [get_rand_value $SEEDS ] +set effort [get_rand_value $EFFORTS ] +set skew [get_rand_value $SKEWS ] +set fitter [get_rand_value $FITTERS ] +set fitting [get_rand_value $FITTINGS] +set holdx [get_rand_value $HOLDXS ] + +set prog [list [info nameofexec] $is_quiet $is_color $is_gui -B --batch --mode $MODE] +alta::lconcat prog [list -X "set QUARTUS_SDC $QUARTUS_SDC"] +if { $CORNER != "" } { + alta::lconcat prog [list -X "set CORNER $CORNER"] +} +alta::lconcat prog [list -X "set RESULT_DIR $result_dir"] +if { $seed != "" } { + alta::lconcat prog [list -X "set SEED $seed"] +} +if { $effort != "" } { + alta::lconcat prog [list -X "set EFFORT $effort"] +} +if { $fitter != "" } { + alta::lconcat prog [list -X "set FITTER $fitter"] +} +if { $fitting != "" } { + alta::lconcat prog [list -X "set FITTING $fitting"] +} +if { $skew != "" } { + alta::lconcat prog [list -X "set SKEW $skew"] +} +if { $holdx != "" } { + alta::lconcat prog [list -X "set HOLDX $holdx"] +} +#alta::lconcat prog [list -F af_run.tcl] +lappend progs $prog +lappend titles "#$id $result_dir" +} + +####################################################################### + +if { $is_parallel } { + set bg_progs {} + foreach bg_prog $progs { + lappend bg_progs [lappend bg_prog $is_quiet] + } + bg_exec_queue $titles $bg_progs $JOBS +} + +####################################################################### + +for {set id 1} {$id <= $COUNT} {incr id} { +set result_dir "$results/$id" +set prog [lindex $progs [expr $id-1]] +set title [lindex $titles [expr $id-1]] +if { ! $is_parallel } { + puts $title + puts $prog + eval exec -ignorestderr $prog >&@ stdout +} + +print "***************************************************************************\n" >> $summary +print "$title\n" >> $summary +cat "$result_dir/alta_db/fmax.rpt" >> $summary +cat "$result_dir/alta_db/xfer.rpt" >> $summary +print "" >> $summary +} + +alta::tcl_highlight "Check $summary for result.\n" diff --git a/hardware/portapack_h4m/CPLD/Supra/af_ip.tcl b/hardware/portapack_h4m/CPLD/Supra/af_ip.tcl new file mode 100644 index 00000000..3e3c5cb0 --- /dev/null +++ b/hardware/portapack_h4m/CPLD/Supra/af_ip.tcl @@ -0,0 +1,50 @@ +set AGM_SUPRA true +set DESIGN "portapack_h4m_cpld" +set IPLIST {alta_bram alta_bram9k alta_sram alta_wram alta_pll alta_pllx alta_pllv alta_pllve alta_boot alta_osc alta_mult alta_multm alta_ufm alta_ufms alta_ufml alta_i2c alta_spi alta_irda alta_mcu alta_mcu_m3 alta_saradc alta_adc alta_dac alta_cmp } +lappend IPLIST alta_rv32 + +proc set_alta_partition {inst tag} { + set full_name [get_name_info -observable_type pre_synthesis -info full_path $inst] + set inst_name [get_name_info -observable_type pre_synthesis -info short_full_path $inst] + set base_name [get_name_info -observable_type pre_synthesis -info instance_name $inst] + set section_id [string map { [ _ ] _ . _ | _} $inst_name] + eval "set_global_assignment -name PARTITION_COLOR 52377 -section_id $section_id -tag $tag" + eval "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id $section_id -tag $tag" + eval "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id $section_id -tag $tag" + eval "set_instance_assignment -name PARTITION_HIERARCHY $section_id -to $full_name -section_id $section_id -tag $tag" +} + +load_package flow +if { $DESIGN == "" } { + set DESIGN $::quartus(args) +} +project_open $DESIGN + +set tag alta_auto +if { [llength $IPLIST] > 0 } { + # A Quartus bug saves PARTITION_HIERARCHY assignments without tag. Use section_id to remove them. + set asgn_col [get_all_global_assignments -name PARTITION_NETLIST_TYPE -tag $tag] + foreach_in_collection part $asgn_col { + set section_id [lindex $part 0] + eval "remove_all_instance_assignments -name PARTITION_HIERARCHY -section_id $section_id" + } + eval "remove_all_global_assignments -name PARTITION_COLOR -tag $tag" + eval "remove_all_global_assignments -name PARTITION_NETLIST_TYPE -tag $tag" + eval "remove_all_global_assignments -name PARTITION_FITTER_PRESERVATION_LEVEL -tag $tag" + catch { execute_module -tool map } + + foreach ip $IPLIST { + foreach_in_collection inst [get_names -node_type hierarchy -observable_type pre_synthesis -filter "$ip:*"] { + set_alta_partition $inst $tag + } + foreach_in_collection inst [get_names -node_type hierarchy -observable_type pre_synthesis -filter "*|$ip:*"] { + set_alta_partition $inst $tag + } + } +} +eval "set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY PARTITION_ONLY -section_id eda_simulation" + +project_close + +exit + diff --git a/hardware/portapack_h4m/CPLD/Supra/af_map.tcl b/hardware/portapack_h4m/CPLD/Supra/af_map.tcl new file mode 100644 index 00000000..ebdf2911 --- /dev/null +++ b/hardware/portapack_h4m/CPLD/Supra/af_map.tcl @@ -0,0 +1,79 @@ +map -import + +if { [info exists DESIGN] && ! [info exists TOP_MODULE] } { + set TOP_MODULE "$DESIGN" +} +if { ! [info exists DESIGN] } { + set DESIGN "portapack_h4m_cpld" +} +if { ! [info exists TOP_MODULE] } { + set TOP_MODULE "top" +} + +set verilogs { } +if { [ llength $verilogs ] == 0 } { + set verilogs "A:/Users/jLynx/Documents/Code/C/portapack-mayhem/hardware/portapack_h4m/CPLD/AG256SL100/${DESIGN}.v" +} +foreach verilog $verilogs { + read_verilog "$verilog" +} + + read_verilog -sv -lib +/agm/rodina/cells_sim.v + read_verilog -sv -lib +/agm/common/m9k_bb.v + read_verilog -sv -lib +/agm/common/altpll_bb.v + hierarchy -check -top ${TOP_MODULE} + + synth -run coarse -top ${DESIGN} + + map proc + opt_expr + opt_clean + check + opt + + wreduce + alumacc + share + opt + fsm + opt -fast + memory -nomap + opt_clean + + memory_bram -rules +/agm/common/brams.txt + techmap -map +/agm/common/brams_map.v + + opt -fast -mux_undef -undriven -fine -full + memory_map + opt -undriven -fine + + techmap -autoproc -map +/techmap.v -map +/agm/rodina/arith_map.v + dffsr2dff + dff2dffe -direct-match \$_DFF_* + opt -full + + techmap -map +/agm/rodina/cells_map.v + agm_dffeas + opt -full + + clean -purge + setundef -undriven -zero + abc -markgroups -dff + opt_expr -mux_undef -undriven -full + opt_merge + opt_rmdff + opt_clean + + abc -lut 4 + clean + + techmap -map +/agm/rodina/cells_map.v + dffinit -ff dffeas Q INIT + clean -purge + + hierarchy -check + check -noinit + + write_verilog -bitblasted -attr2comment -defparam -decimal -renameprefix syn_ ${DESIGN}.vqm +# exec sed -i "/\\\\\\\$paramod/s/\[$=\\]/_/g" ${DESIGN}.vqm + diff --git a/hardware/portapack_h4m/CPLD/Supra/af_quartus.tcl b/hardware/portapack_h4m/CPLD/Supra/af_quartus.tcl new file mode 100644 index 00000000..54463c74 --- /dev/null +++ b/hardware/portapack_h4m/CPLD/Supra/af_quartus.tcl @@ -0,0 +1,50 @@ +set AGM_SUPRA true +set RETRY 0 +set DESIGN "portapack_h4m_cpld" + +if { [is_project_open] } { + export_assignments +} + +set is_compatible false +if { $is_compatible } { + cd A:/Users/jLynx/Documents/Code/C/portapack-mayhem/hardware/portapack_h4m/CPLD/AG256SL100 + qexec "[file join $::quartus(binpath) quartus_eda] $DESIGN --simulation --tool=modelsim --format=verilog" +} else { + set FITTER_EFFORTS {"STANDARD FIT" "STANDARD FIT" "FAST FIT" "FAST FIT" "FAST FIT"} + set SEEDS [list [expr int(rand()*100)] \ + [expr int(rand()*100)] \ + [expr int(rand()*100)] \ + [expr int(rand()*100)] \ + [expr int(rand()*100)]] + set PLACEMENT_EFFORTS [list [expr rand()*5+0.1] \ + [expr rand()*5+0.1] \ + [expr rand()*5+0.1] \ + [expr rand()*5+0.1] \ + [expr rand()*5+0.1]] + set ROUTER_EFFORTS [list [expr rand()*5+0.25] \ + [expr rand()*5+0.25] \ + [expr rand()*5+0.25] \ + [expr rand()*5+0.25] \ + [expr rand()*5+0.25]] + + qexec "[file join $::quartus(binpath) quartus_sh] -t af_ip.tcl" + + load_package flow + project_open $DESIGN + + set RETRY [expr $RETRY<[llength $FITTER_EFFORTS]?$RETRY:[llength $FITTER_EFFORTS]] + for {set nn -1} {$nn < $RETRY} {incr nn} { + if {$nn >= 0} { + set_global_assignment -name FITTER_EFFORT \"[lindex $FITTER_EFFORTS $nn]\" + set_global_assignment -name SEED [lindex $SEEDS $nn] + set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER [lindex $PLACEMENT_EFFORTS $nn] + set_global_assignment -name ROUTER_EFFORT_MULTIPLIER [lindex $ROUTER_EFFORTS $nn] + } + + set code [catch {execute_flow -compile} msg] + if { $code == 0 } { break } + } +} + + diff --git a/hardware/portapack_h4m/CPLD/Supra/af_run.tcl b/hardware/portapack_h4m/CPLD/Supra/af_run.tcl new file mode 100644 index 00000000..7988dddd --- /dev/null +++ b/hardware/portapack_h4m/CPLD/Supra/af_run.tcl @@ -0,0 +1,340 @@ +set ALTA_SUPRA true +set sh_continue_on_error false +set sh_echo_on_source true +set sh_quiet_on_source true +set cc_critical_as_fatal true +set rt_incremental_route true +set ta_report_auto 1 +set ta_report_auto_constraints $ta_report_auto + +if { ! [info exists RESULT_DIR] } { + set RESULT_DIR "." +} elseif { ! [info exists alta_work] } { + set alta_work "${RESULT_DIR}/alta_db" +} +if { ! [info exists DEVICE] } { + set DEVICE "AG256SL100" +} +if { [info exists DESIGN] && ! [info exists TOP_MODULE] } { + set TOP_MODULE "$DESIGN" +} +if { ! [info exists DESIGN] } { + set DESIGN "portapack_h4m_cpld" +} +if { ! [info exists TOP_MODULE] } { + set TOP_MODULE "top" +} +if { ! [info exists IP_FILES] } { + set IP_FILES {} +} +if { ! [info exists VE_FILE] } { + set VE_FILE "" +} +if { ! [info exists TIMING_DERATE] } { + set TIMING_DERATE {1.000000 1.000000} +} +if { [info exists NO_ROUTE] && $NO_ROUTE } { + set no_route "-no_route" +} else { + set no_route "" +} +if { ! [info exists RETRY] } { set RETRY 0 } +if { ! [info exists SEED ] } { set SEED 666 } +set seed_rand "" +if { $SEED == 0 } { set seed_rand "-seed_rand" } +if { [info exists QUARTUS_SDC] } { + set sdc_remove_quartus_column_name $QUARTUS_SDC +} +if { ! [info exists ORG_PLACE] } { set ORG_PLACE false } +if { ! [info exists MODE] } { set MODE "QUARTUS" } +if { ! [info exists FLOW] } { set FLOW "ALL" } +if { $FLOW == "PROBE" } { + if { ! [info exists PROBE_FORCE] } { set PROBE_FORCE false } + if { ! [info exists PREFIX] } { set PREFIX "probe_" } +} +if { ! [info exists PREFIX] } { + set RESULT $DESIGN +} else { + set RESULT $PREFIX$DESIGN +} +if { $FLOW == "GEN" || $FLOW == "PACK" || $FLOW == "LOAD" } { set no_route "-no_route" } +set RUN "run" +if { $FLOW == "CHECK" } { + set RUN "check" +} elseif { $FLOW == "PROBE" } { + set RUN "probe" +} elseif { $FLOW == "GEN" } { + set RUN "gen" +} + +if { ! [info exists alta_logs] } { + set alta_logs "${RESULT_DIR}/alta_logs" +} +file mkdir $alta_logs +alta::begin_log_cmd "$alta_logs/${RUN}.log" "$alta_logs/${RUN}.err" +alta::tcl_whisper "Cmd : [alta::prog_path] [alta::prog_version]([alta::prog_subversion])\n" +alta::tcl_whisper "Args : [string map {\{ \" \} \"} $tcl_cmd_args]\n" + +set_seed_rand $SEED +set ar_timing_derate ${TIMING_DERATE} + +date_time +if { [file exists "./${DESIGN}.pre.asf"] } { + alta::tcl_highlight "Using pre-ASF file ${DESIGN}.pre.asf.\n" + source "./${DESIGN}.pre.asf" +} + +set LOAD_DB false +set LOAD_PLACE false +set LOAD_ROUTE false +if { $FLOW == "LOAD" || $FLOW == "CHECK" || $FLOW == "PROBE" } { + set LOAD_DB true + set LOAD_PLACE true + set LOAD_ROUTE true +} elseif { $FLOW == "R" || $FLOW == "ROUTE" } { + set LOAD_DB true + set LOAD_PLACE true +} + +set ORIGINAL_QSF "A:/Users/jLynx/Documents/Code/C/portapack-mayhem/hardware/portapack_h4m/CPLD/AG256SL100/./portapack_h4m_cpld.qsf" +set ORIGINAL_PIN "A:/Users/jLynx/Documents/Code/C/portapack-mayhem/hardware/portapack_h4m/CPLD/AG256SL100/output_files/portapack_h4m_cpld.pin" + +################################################################################# + +while (1) { +if { [info exists CORNER] } { set_mode -corner $CORNER; } + +eval "load_architect ${no_route} -type ${DEVICE} 1 1 1000 1000" +foreach ip_file $IP_FILES { read_ip $ip_file; } + + +if { $FLOW == "GEN" } { + if { ! [info exists CONFIG_BITS] } { + set CONFIG_BITS "${RESULT_DIR}/${DESIGN}.bin" + } + if { [llength $CONFIG_BITS] > 1 } { + if { ! [info exists BOOT_BINARY] } { + set BOOT_BINARY "${RESULT_DIR}/${DESIGN}_boot.bin" + } + if { ! [info exists CONFIG_ADDRESSES] } { + set CONFIG_ADDRESSES "" + } + generate_binary -master $BOOT_BINARY -inputs $CONFIG_BITS -address $CONFIG_ADDRESSES + } else { + set CONFIG_ROOT [file rootname [lindex $CONFIG_BITS 0]] + set SLAVE_RBF "${CONFIG_ROOT}_slave.rbf" + set MASTER_BINARY "${CONFIG_ROOT}_master.bin" + if { [file exists [lindex $CONFIG_BITS 0]] } { + generate_binary -slave $SLAVE_RBF -inputs [lindex $CONFIG_BITS 0] -reverse + generate_binary -master $MASTER_BINARY -inputs [lindex $CONFIG_BITS 0] + } + if { ! [info exists BOOT_BINARY] } { + set BOOT_BINARY $MASTER_BINARY + } + } + set PRG_FILE [file rootname $BOOT_BINARY].prg + set AS_FILE [file rootname $BOOT_BINARY]_as.prg + generate_programming_file $BOOT_BINARY -erase $ERASE \ + -program $PROGRAM -verify $VERIFY -offset $OFFSET \ + -prg $PRG_FILE -as $AS_FILE + break +} + +if { $LOAD_DB } { + load_db -top ${TOP_MODULE} + set sdc "./${DESIGN}.adc" + if { ! [file exists $sdc] } { set sdc "./${DESIGN}.sdc"; } + if { [file exists $sdc] } { read_sdc $sdc; } + +} elseif { $MODE == "QUARTUS" } { + set verilog ${DESIGN}.vo + set is_migrated false + if { ! [file exists $verilog] } { + set verilog "./simulation/modelsim/${DESIGN}.vo" + set is_migrated true + } + if { ! [file exists $verilog] } { + error "Can not find design verilog file $verilog" + } + alta::tcl_highlight "Using design verilog file $verilog.\n" + set ret [read_design -top ${TOP_MODULE} -ve $VE_FILE -qsf $ORIGINAL_QSF $verilog -hierachy 1] + if { !$ret } { exit -1; } + + set sdc "./${DESIGN}.adc" + if { ! [file exists $sdc] } { set sdc "./${DESIGN}.sdc"; } + if { ! [file exists $sdc] } { + alta::tcl_warn "Can not find design SDC file $sdc" + } else { + alta::tcl_highlight "Using design SDC file $sdc.\n" + read_sdc $sdc + } + +} elseif { $MODE == "SYNPLICITY" || $MODE == "NATIVE" } { + set db_gclk_assignment_level 2 + set verilog ${DESIGN}.vqm + set is_migrated false + if { ! [file exists $verilog] } { + error "Can not find design verilog file $verilog" + } + + set sdc "./${DESIGN}.adc" + if { ! [file exists $sdc] } { set sdc "./${DESIGN}.sdc"; } + alta::tcl_highlight "Using design verilog file $verilog.\n" + if { ! [file exists $sdc] } { + alta::tcl_warn "Can not find design SDC file $sdc" + set ret [read_design_and_pack -sdc $sdc -top ${TOP_MODULE} $verilog] + } else { + alta::tcl_highlight "Using design SDC file $sdc.\n" + set ret [read_design_and_pack -top ${TOP_MODULE} $verilog] + } + if { !$ret } { exit -1; } + +} else { + error "Unsupported mode $MODE" +} + +if { $FLOW == "PACK" } { break } + +if { [info exists FITTING] } { + if { $FITTING == "Auto" } { set FITTING auto; } + set_mode -fitting $FITTING +} +if { [info exists FITTER] } { + if { $FITTER == "Auto" } { + if { $MODE == "QUARTUS" } { set FITTER hybrid; } else { set FITTER full; } + } + if { $MODE == "SYNPLICITY" || $MODE == "NATIVE" } { set FITTER full; } + set_mode -fitter $FITTER +} +if { [info exists EFFORT] } { set_mode -effort $EFFORT; } +if { [info exists SKEW ] } { set_mode -skew $SKEW ; } +if { [info exists SKOPE ] } { set_mode -skope $SKOPE ; } +if { [info exists HOLDX ] } { set_mode -holdx $HOLDX; } +if { [info exists TUNING] } { set_mode -tuning $TUNING; } +if { [info exists TARGET] } { set_mode -target $TARGET; } +if { [info exists PRESET] } { set_mode -preset $PRESET; } +if { [info exists ADJUST] } { set pl_criticality_wadjust $ADJUST; } + +set alta_aqf $::alta_work/alta.aqf +if { $LOAD_DB } { + # Empty +} elseif { true } { + if { [file exists $VE_FILE] } { + set ORIGINAL_PIN "" + } elseif { ! [file exists $ORIGINAL_PIN] } { + if { $is_migrated } { + error "Can not find design PIN file $ORIGINAL_PIN, please compile design first" + } + set ORIGINAL_PIN "" + } + if { [file exists $ORIGINAL_QSF] } { + alta::convert_quartus_settings_cmd $ORIGINAL_QSF $ORIGINAL_PIN $alta_aqf + } elseif { $is_migrated } { + error "Can not find design exported QSF file $ORIGINAL_QSF, please export assigments first" + } +} +if { [file exists "$alta_aqf"] } { + alta::tcl_highlight "Using AQF file $alta_aqf.\n" + source "$alta_aqf" +} +if { [file exists "./${DESIGN}.asf"] } { + alta::tcl_highlight "Using ASF file ${DESIGN}.asf.\n" + source "./${DESIGN}.asf" +} + +if { $FLOW == "PROBE" } { + set ret [place_pseudo -user_io -place_io -place_pll -place_gclk] + if { !$ret } { exit -1 } + + set force "" + if { [info exists PROBE_FORCE] && $PROBE_FORCE } { set force "-force" } + eval "probe_design -froms {${PROBE_FROMS}} -tos {${PROBE_TOS}} ${force}" + +} elseif { $FLOW == "CHECK" } { + set ret [place_pseudo -user_io -place_io -place_pll -place_gclk] + if { !$ret } { exit -1 } + + if { [file exists "./${DESIGN}.chk"] } { + alta::tcl_highlight "Using CHK file ${DESIGN}.chk.\n" + source "./${DESIGN}.chk" + place_design -dry + check_design -rule led_guide + } else { + error "Can not find design CHECK file ${DESIGN}.chk" + } + +} else { + set ret [place_pseudo -user_io -place_io -place_pll -place_gclk -warn_io] + if { !$ret } { exit -1 } + + set org_place "" + set load_place "" + set load_route "" + set quiet "" + if { $ORG_PLACE } { set org_place "-org_place" ; } + if { $LOAD_PLACE } { set load_place "-load_place"; } + if { $LOAD_ROUTE } { set load_route "-load_route"; } + eval "place_and_route_design $org_place $load_place $load_route \ + -retry $RETRY $seed_rand $quiet" +} + +date_time +if { $FLOW != "CHECK" } { +if { $FLOW != "PROBE" } { +#report_timing -verbose 1 -file $::alta_work/timing.rpt.gz +report_timing -verbose 2 -setup -file $::alta_work/setup.rpt.gz +report_timing -verbose 2 -setup -brief -file $::alta_work/setup_summary.rpt.gz +report_timing -verbose 2 -hold -file $::alta_work/hold.rpt.gz +report_timing -verbose 2 -hold -brief -file $::alta_work/hold_summary.rpt.gz + +set ta_report_auto_constraints 0 +report_timing -fmax -file $::alta_work/fmax.rpt +report_timing -xfer -file $::alta_work/xfer.rpt +set ta_report_auto_constraints $ta_report_auto + +#set ta_coverage_limit "0.95 0.90" +set ta_dump_uncovered 1 +report_timing -verbose 1 -coverage >! $::alta_work/coverage.rpt.gz +#unset ta_coverage_limit +unset ta_dump_uncovered + + +if { ! [info exists rt_report_timing_fast] } { + set rt_report_timing_fast false +} +if { $rt_report_timing_fast } { + set_timing_corner fast + route_delay -quiet + report_timing -verbose 2 -setup -file $::alta_work/setup_fast.rpt.gz + report_timing -verbose 2 -setup -brief -file $::alta_work/setup_fast_summary.rpt.gz + report_timing -verbose 2 -hold -file $::alta_work/hold_fast.rpt.gz + report_timing -verbose 2 -hold -brief -file $::alta_work/hold_fast_summary.rpt.gz + set ta_report_auto_constraints 0 + report_timing -fmax -file $::alta_work/fmax_fast.rpt + report_timing -xfer -file $::alta_work/xfer_fast.rpt + set ta_report_auto_constraints $ta_report_auto +} + +write_routed_design "${RESULT_DIR}/${RESULT}_routed.v" +} + +bitgen normal -prg "${RESULT_DIR}/${RESULT}.prg" -bin "${RESULT_DIR}/${RESULT}.bin" +bitgen sram -prg "${RESULT_DIR}/${RESULT}_sram.prg" +bitgen download -bin "${RESULT_DIR}/${RESULT}.bin" -svf "${RESULT_DIR}/${RESULT}_download.svf" +generate_binary -slave "${RESULT_DIR}/${RESULT}_slave.rbf" \ + -inputs "${RESULT_DIR}/${RESULT}.bin" -reverse +generate_binary -master "${RESULT_DIR}/${RESULT}_master.bin" \ + -inputs "${RESULT_DIR}/${RESULT}.bin" +generate_programming_file "${RESULT_DIR}/${RESULT}_master.bin" -prg "${RESULT_DIR}/${RESULT}_master.prg" \ + -as "${RESULT_DIR}/${RESULT}_master_as.prg" -hybrid "${RESULT_DIR}/${RESULT}_hybrid.prg" +} +break +} + +if { [file exists "./${DESIGN}.post.asf"] } { + alta::tcl_highlight "Using post-ASF file ${DESIGN}.post.asf.\n" + source "./${DESIGN}.post.asf" +} +date_time +exit + diff --git a/hardware/portapack_h4m/CPLD/Supra/alta_db/alta.aqf b/hardware/portapack_h4m/CPLD/Supra/alta_db/alta.aqf new file mode 100644 index 00000000..694cd105 --- /dev/null +++ b/hardware/portapack_h4m/CPLD/Supra/alta_db/alta.aqf @@ -0,0 +1,266 @@ +set_global_assignment -name DEVICE_IO_STANDARD "3.3-V LVCMOS" +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED WITH BUS-HOLD" +set_global_assignment -name ENABLE_DEVICE_WIDE_RESET "OFF" +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to SW_D +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to SW_L +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to SW_R +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to SW_U +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to SW_ROT_B +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to SW_SEL +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to SW_ROT_A +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to LCD_DB[15] +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to LCD_DB[14] +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to LCD_DB[13] +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to LCD_DB[12] +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to LCD_DB[11] +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to LCD_DB[10] +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to LCD_DB[9] +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to LCD_DB[8] +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to LCD_DB[7] +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to LCD_DB[6] +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to LCD_DB[5] +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to LCD_DB[4] +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to LCD_DB[3] +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to LCD_DB[2] +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to LCD_DB[1] +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to LCD_DB[0] +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to LCD_RDX +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to LCD_RESETX +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to LCD_RS +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to LCD_TE +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to LCD_WRX +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to MCU_ADDR +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to MCU_D[7] +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to MCU_D[6] +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to MCU_D[5] +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to MCU_D[4] +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to MCU_D[3] +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to MCU_D[2] +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to MCU_D[1] +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to MCU_D[0] +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to MCU_DIR +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to SW_D +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to SW_L +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to SW_R +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to SW_ROT_A +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to SW_ROT_B +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to SW_SEL +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to SW_U +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to TP_D +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to TP_L +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to TP_R +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to TP_U +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to LCD_BACKLIGHT +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to AUDIO_RESETX +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to MCU_LCD_RDX +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to MCU_LCD_WRX +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to MCU_IO_STBX +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to TP_R +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to TP_D +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to TP_L +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to TP_U +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to MCU_LCD_TE +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to MCU_P2_8 +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to LCD_DB[15] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to LCD_DB[14] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to LCD_DB[13] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to LCD_DB[12] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to LCD_DB[11] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to LCD_DB[10] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to LCD_DB[9] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to LCD_DB[8] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to LCD_DB[7] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to LCD_DB[6] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to LCD_DB[5] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to LCD_DB[4] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to LCD_DB[3] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to LCD_DB[2] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to LCD_DB[1] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to LCD_DB[0] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to MCU_D[7] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to MCU_D[6] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to MCU_D[5] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to MCU_D[4] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to MCU_D[3] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to MCU_D[2] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to MCU_D[1] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to MCU_D[0] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to MCU_ADDR +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to MCU_DIR +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to MCU_IO_STBX +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to MCU_LCD_RDX +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "OFF" -to MCU_LCD_WRX +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "OFF" -to MCU_P2_8 +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to GPS_TIMEPULSE +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to GPS_TIMEPULSE +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to GPS_TX_READY +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "ON" -to GPS_TX_READY +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to REF_EN +set_instance_assignment -name SLOW_SLEW_RATE "ON" -to GPS_RESETX +set_instance_assignment -name WEAK_PULL_UP_RESISTOR "OFF" -to SYSOFF +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to AUDIO_RESETX +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to DEVICE_RESET +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to DEVICE_RESET_V +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to GPS_RESETX +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to GPS_TIMEPULSE +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to GPS_TX_READY +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_BACKLIGHT +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_DB[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_RDX +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_RESETX +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_RS +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_TE +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to LCD_WRX +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_ADDR +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_DIR +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_D[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_D[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_D[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_D[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_D[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_D[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_D[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_D[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_IO_STBX +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_LCD_RDX +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_LCD_TE +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_LCD_WRX +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to MCU_P2_8 +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to REF_EN +set_instance_assignment -name IO_STANDARD "3.3V Schmitt Trigger Input" -to SW_D +set_instance_assignment -name IO_STANDARD "3.3V Schmitt Trigger Input" -to SW_L +set_instance_assignment -name IO_STANDARD "3.3V Schmitt Trigger Input" -to SW_R +set_instance_assignment -name IO_STANDARD "3.3V Schmitt Trigger Input" -to SW_ROT_A +set_instance_assignment -name IO_STANDARD "3.3V Schmitt Trigger Input" -to SW_ROT_B +set_instance_assignment -name IO_STANDARD "3.3V Schmitt Trigger Input" -to SW_SEL +set_instance_assignment -name IO_STANDARD "3.3V Schmitt Trigger Input" -to SW_U +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to SYSOFF +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to TP_D +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to TP_L +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to TP_R +set_instance_assignment -name IO_STANDARD "3.3-V LVCMOS" -to TP_U +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to AUDIO_RESETX +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to GPS_RESETX +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to GPS_TIMEPULSE +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to GPS_TX_READY +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_BACKLIGHT +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[10] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[11] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[12] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[13] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[14] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[15] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[2] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[3] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[4] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[5] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[6] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[7] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[8] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_DB[9] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_RDX +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_RESETX +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_RS +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_TE +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to LCD_WRX +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_ADDR +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_DIR +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_D[0] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_D[1] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_D[2] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_D[3] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_D[4] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_D[5] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_D[6] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_D[7] +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_IO_STBX +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_LCD_RDX +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_LCD_TE +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_LCD_WRX +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MCU_P2_8 +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to REF_EN +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SW_D +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SW_L +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SW_R +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SW_ROT_A +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SW_ROT_B +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SW_SEL +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SW_U +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SYSOFF +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to TP_D +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to TP_L +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to TP_R +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to TP_U +set_location_assignment PIN_57 -to AUDIO_RESETX +set_location_assignment PIN_44 -to DEVICE_RESET +set_location_assignment PIN_38 -to DEVICE_RESET_V +set_location_assignment PIN_73 -to GPS_RESETX +set_location_assignment PIN_74 -to GPS_TIMEPULSE +set_location_assignment PIN_75 -to GPS_TX_READY +set_location_assignment PIN_76 -to LCD_BACKLIGHT +set_location_assignment PIN_99 -to LCD_DB[0] +set_location_assignment PIN_87 -to LCD_DB[10] +set_location_assignment PIN_86 -to LCD_DB[11] +set_location_assignment PIN_85 -to LCD_DB[12] +set_location_assignment PIN_84 -to LCD_DB[13] +set_location_assignment PIN_83 -to LCD_DB[14] +set_location_assignment PIN_82 -to LCD_DB[15] +set_location_assignment PIN_98 -to LCD_DB[1] +set_location_assignment PIN_97 -to LCD_DB[2] +set_location_assignment PIN_96 -to LCD_DB[3] +set_location_assignment PIN_95 -to LCD_DB[4] +set_location_assignment PIN_92 -to LCD_DB[5] +set_location_assignment PIN_91 -to LCD_DB[6] +set_location_assignment PIN_90 -to LCD_DB[7] +set_location_assignment PIN_89 -to LCD_DB[8] +set_location_assignment PIN_88 -to LCD_DB[9] +set_location_assignment PIN_1 -to LCD_RDX +set_location_assignment PIN_100 -to LCD_RESETX +set_location_assignment PIN_3 -to LCD_RS +set_location_assignment PIN_4 -to LCD_TE +set_location_assignment PIN_2 -to LCD_WRX +set_location_assignment PIN_42 -to MCU_ADDR +set_location_assignment PIN_72 -to MCU_DIR +set_location_assignment PIN_35 -to MCU_D[0] +set_location_assignment PIN_36 -to MCU_D[1] +set_location_assignment PIN_33 -to MCU_D[2] +set_location_assignment PIN_30 -to MCU_D[3] +set_location_assignment PIN_28 -to MCU_D[4] +set_location_assignment PIN_29 -to MCU_D[5] +set_location_assignment PIN_27 -to MCU_D[6] +set_location_assignment PIN_26 -to MCU_D[7] +set_location_assignment PIN_41 -to MCU_IO_STBX +set_location_assignment PIN_39 -to MCU_LCD_RDX +set_location_assignment PIN_40 -to MCU_LCD_TE +set_location_assignment PIN_71 -to MCU_LCD_WRX +set_location_assignment PIN_43 -to MCU_P2_8 +set_location_assignment PIN_58 -to REF_EN +set_location_assignment PIN_14 -to SW_D +set_location_assignment PIN_37 -to SW_L +set_location_assignment PIN_12 -to SW_R +set_location_assignment PIN_15 -to SW_ROT_A +set_location_assignment PIN_16 -to SW_ROT_B +set_location_assignment PIN_17 -to SW_SEL +set_location_assignment PIN_34 -to SW_U +set_location_assignment PIN_47 -to SYSOFF +set_location_assignment PIN_6 -to TP_D +set_location_assignment PIN_7 -to TP_L +set_location_assignment PIN_5 -to TP_R +set_location_assignment PIN_8 -to TP_U diff --git a/hardware/portapack_h4m/CPLD/Supra/alta_db/alta.asf b/hardware/portapack_h4m/CPLD/Supra/alta_db/alta.asf new file mode 100644 index 00000000..36e9d5b1 --- /dev/null +++ b/hardware/portapack_h4m/CPLD/Supra/alta_db/alta.asf @@ -0,0 +1,38 @@ +set_instance_assignment -name ENABLE_OPEN_DRAIN -to TP_U~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to TP_L~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to TP_R~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to TP_D~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to MCU_D[1]~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to MCU_D[2]~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to MCU_D[0]~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to MCU_D[6]~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to MCU_D[7]~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to LCD_DB[8]~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to LCD_DB[0]~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to LCD_DB[6]~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to LCD_DB[14]~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to LCD_DB[15]~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to LCD_DB[7]~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to LCD_DB[1]~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to MCU_D[5]~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to MCU_D[3]~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to MCU_D[4]~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to LCD_DB[2]~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to LCD_DB[9]~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to LCD_DB[10]~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to LCD_DB[13]~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to LCD_DB[5]~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to LCD_DB[3]~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to LCD_DB[4]~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to LCD_DB[11]~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to LCD_DB[12]~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to LCD_RDX~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to LCD_WRX~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to LCD_RS~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to MCU_LCD_TE~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to LCD_RESETX~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to REF_EN~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to LCD_BACKLIGHT~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to SYSOFF~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to AUDIO_RESETX~output false +set_instance_assignment -name ENABLE_OPEN_DRAIN -to GPS_RESETX~output false diff --git a/hardware/portapack_h4m/CPLD/Supra/alta_db/alta.cellmap b/hardware/portapack_h4m/CPLD/Supra/alta_db/alta.cellmap new file mode 100644 index 00000000..c5b6f4af --- /dev/null +++ b/hardware/portapack_h4m/CPLD/Supra/alta_db/alta.cellmap @@ -0,0 +1,29 @@ +tp_q[3] clken_ctrl_X1_Y15_N0 +tp_q[4] clken_ctrl_X1_Y15_N0 +lcd_backlight_q clken_ctrl_X1_Y15_N1 +tp_q[0] clken_ctrl_X1_Y15_N0 +lcd_reset_q clken_ctrl_X1_Y15_N1 +tp_q[1] clken_ctrl_X1_Y15_N0 +audio_reset_q clken_ctrl_X1_Y15_N1 +tp_q[7] clken_ctrl_X1_Y15_N0 +tp_q[2] clken_ctrl_X1_Y15_N0 +tp_q[5] clken_ctrl_X1_Y15_N0 +ref_en_q clken_ctrl_X1_Y15_N1 +sysoff_q clken_ctrl_X1_Y15_N1 +tp_q[6] clken_ctrl_X1_Y15_N0 +lcd_data_in_q[0] clken_ctrl_X1_Y19_N0 +lcd_data_in_q[6] clken_ctrl_X1_Y19_N0 +lcd_data_out_q[1] clken_ctrl_X1_Y20_N0 +lcd_data_out_q[2] clken_ctrl_X1_Y20_N0 +lcd_data_out_q[6] clken_ctrl_X1_Y20_N0 +lcd_data_out_q[7] clken_ctrl_X1_Y20_N0 +lcd_data_out_q[0] clken_ctrl_X1_Y20_N0 +lcd_data_in_q[7] clken_ctrl_X1_Y21_N0 +lcd_data_in_q[1] clken_ctrl_X1_Y21_N0 +lcd_data_in_q[2] clken_ctrl_X1_Y24_N0 +lcd_data_in_q[4] clken_ctrl_X1_Y26_N0 +lcd_data_out_q[4] clken_ctrl_X1_Y26_N1 +lcd_data_out_q[3] clken_ctrl_X1_Y26_N1 +lcd_data_out_q[5] clken_ctrl_X1_Y26_N1 +lcd_data_in_q[3] clken_ctrl_X1_Y26_N0 +lcd_data_in_q[5] clken_ctrl_X1_Y26_N0 diff --git a/hardware/portapack_h4m/CPLD/Supra/alta_db/alta.pinmap b/hardware/portapack_h4m/CPLD/Supra/alta_db/alta.pinmap new file mode 100644 index 00000000..9a3d413a --- /dev/null +++ b/hardware/portapack_h4m/CPLD/Supra/alta_db/alta.pinmap @@ -0,0 +1,859 @@ +SW_L~input|datain SW_L~input|datain +SW_L~input|oe SW_L~input|oe +SW_L~input|outclk SW_L~input|outclk +SW_L~input|outclkena SW_L~input|outclkena +SW_L~input|inclk SW_L~input|inclk +SW_L~input|inclkena SW_L~input|inclkena +SW_L~input|areset SW_L~input|areset +SW_L~input|sreset SW_L~input|sreset +SW_L~input|combout SW_L~input|combout +SW_L~input|padio SW_L~input|padio +SW_R~input|datain SW_R~input|datain +SW_R~input|oe SW_R~input|oe +SW_R~input|outclk SW_R~input|outclk +SW_R~input|outclkena SW_R~input|outclkena +SW_R~input|inclk SW_R~input|inclk +SW_R~input|inclkena SW_R~input|inclkena +SW_R~input|areset SW_R~input|areset +SW_R~input|sreset SW_R~input|sreset +SW_R~input|combout SW_R~input|combout +SW_R~input|padio SW_R~input|padio +TP_U~output|datain TP_U~output|datain +TP_U~output|oe TP_U~output|oe +TP_U~output|outclk TP_U~output|outclk +TP_U~output|outclkena TP_U~output|outclkena +TP_U~output|inclk TP_U~output|inclk +TP_U~output|inclkena TP_U~output|inclkena +TP_U~output|areset TP_U~output|areset +TP_U~output|sreset TP_U~output|sreset +TP_U~output|padio TP_U~output|padio +TP_L~output|datain TP_L~output|datain +TP_L~output|oe TP_L~output|oe +TP_L~output|outclk TP_L~output|outclk +TP_L~output|outclkena TP_L~output|outclkena +TP_L~output|inclk TP_L~output|inclk +TP_L~output|inclkena TP_L~output|inclkena +TP_L~output|areset TP_L~output|areset +TP_L~output|sreset TP_L~output|sreset +TP_L~output|padio TP_L~output|padio +SW_ROT_B~input|datain SW_ROT_B~input|datain +SW_ROT_B~input|oe SW_ROT_B~input|oe +SW_ROT_B~input|outclk SW_ROT_B~input|outclk +SW_ROT_B~input|outclkena SW_ROT_B~input|outclkena +SW_ROT_B~input|inclk SW_ROT_B~input|inclk +SW_ROT_B~input|inclkena SW_ROT_B~input|inclkena +SW_ROT_B~input|areset SW_ROT_B~input|areset +SW_ROT_B~input|sreset SW_ROT_B~input|sreset +SW_ROT_B~input|combout SW_ROT_B~input|combout +SW_ROT_B~input|padio SW_ROT_B~input|padio +TP_R~output|datain TP_R~output|datain +TP_R~output|oe TP_R~output|oe +TP_R~output|outclk TP_R~output|outclk +TP_R~output|outclkena TP_R~output|outclkena +TP_R~output|inclk TP_R~output|inclk +TP_R~output|inclkena TP_R~output|inclkena +TP_R~output|areset TP_R~output|areset +TP_R~output|sreset TP_R~output|sreset +TP_R~output|padio TP_R~output|padio +TP_D~output|datain TP_D~output|datain +TP_D~output|oe TP_D~output|oe +TP_D~output|outclk TP_D~output|outclk +TP_D~output|outclkena TP_D~output|outclkena +TP_D~output|inclk TP_D~output|inclk +TP_D~output|inclkena TP_D~output|inclkena +TP_D~output|areset TP_D~output|areset +TP_D~output|sreset TP_D~output|sreset +TP_D~output|padio TP_D~output|padio +MCU_D[1]~output|datain MCU_D[1]~output|datain +MCU_D[1]~output|oe MCU_D[1]~output|oe +MCU_D[1]~output|outclk MCU_D[1]~output|outclk +MCU_D[1]~output|outclkena MCU_D[1]~output|outclkena +MCU_D[1]~output|inclk MCU_D[1]~output|inclk +MCU_D[1]~output|inclkena MCU_D[1]~output|inclkena +MCU_D[1]~output|areset MCU_D[1]~output|areset +MCU_D[1]~output|sreset MCU_D[1]~output|sreset +MCU_D[1]~output|combout MCU_D[1]~output|combout +MCU_D[1]~output|padio MCU_D[1]~output|padio +MCU_D[2]~output|datain MCU_D[2]~output|datain +MCU_D[2]~output|oe MCU_D[2]~output|oe +MCU_D[2]~output|outclk MCU_D[2]~output|outclk +MCU_D[2]~output|outclkena MCU_D[2]~output|outclkena +MCU_D[2]~output|inclk MCU_D[2]~output|inclk +MCU_D[2]~output|inclkena MCU_D[2]~output|inclkena +MCU_D[2]~output|areset MCU_D[2]~output|areset +MCU_D[2]~output|sreset MCU_D[2]~output|sreset +MCU_D[2]~output|combout MCU_D[2]~output|combout +MCU_D[2]~output|padio MCU_D[2]~output|padio +MCU_DIR~input|datain MCU_DIR~input|datain +MCU_DIR~input|oe MCU_DIR~input|oe +MCU_DIR~input|outclk MCU_DIR~input|outclk +MCU_DIR~input|outclkena MCU_DIR~input|outclkena +MCU_DIR~input|inclk MCU_DIR~input|inclk +MCU_DIR~input|inclkena MCU_DIR~input|inclkena +MCU_DIR~input|areset MCU_DIR~input|areset +MCU_DIR~input|sreset MCU_DIR~input|sreset +MCU_DIR~input|combout MCU_DIR~input|combout +MCU_DIR~input|padio MCU_DIR~input|padio +MCU_D[0]~output|datain MCU_D[0]~output|datain +MCU_D[0]~output|oe MCU_D[0]~output|oe +MCU_D[0]~output|outclk MCU_D[0]~output|outclk +MCU_D[0]~output|outclkena MCU_D[0]~output|outclkena +MCU_D[0]~output|inclk MCU_D[0]~output|inclk +MCU_D[0]~output|inclkena MCU_D[0]~output|inclkena +MCU_D[0]~output|areset MCU_D[0]~output|areset +MCU_D[0]~output|sreset MCU_D[0]~output|sreset +MCU_D[0]~output|combout MCU_D[0]~output|combout +MCU_D[0]~output|padio MCU_D[0]~output|padio +MCU_D[6]~output|datain MCU_D[6]~output|datain +MCU_D[6]~output|oe MCU_D[6]~output|oe +MCU_D[6]~output|outclk MCU_D[6]~output|outclk +MCU_D[6]~output|outclkena MCU_D[6]~output|outclkena +MCU_D[6]~output|inclk MCU_D[6]~output|inclk +MCU_D[6]~output|inclkena MCU_D[6]~output|inclkena +MCU_D[6]~output|areset MCU_D[6]~output|areset +MCU_D[6]~output|sreset MCU_D[6]~output|sreset +MCU_D[6]~output|combout MCU_D[6]~output|combout +MCU_D[6]~output|padio MCU_D[6]~output|padio +MCU_D[7]~output|datain MCU_D[7]~output|datain +MCU_D[7]~output|oe MCU_D[7]~output|oe +MCU_D[7]~output|outclk MCU_D[7]~output|outclk +MCU_D[7]~output|outclkena MCU_D[7]~output|outclkena +MCU_D[7]~output|inclk MCU_D[7]~output|inclk +MCU_D[7]~output|inclkena MCU_D[7]~output|inclkena +MCU_D[7]~output|areset MCU_D[7]~output|areset +MCU_D[7]~output|sreset MCU_D[7]~output|sreset +MCU_D[7]~output|combout MCU_D[7]~output|combout +MCU_D[7]~output|padio MCU_D[7]~output|padio +LCD_DB[8]~output|datain LCD_DB[8]~output|datain +LCD_DB[8]~output|oe LCD_DB[8]~output|oe +LCD_DB[8]~output|outclk LCD_DB[8]~output|outclk +LCD_DB[8]~output|outclkena LCD_DB[8]~output|outclkena +LCD_DB[8]~output|inclk LCD_DB[8]~output|inclk +LCD_DB[8]~output|inclkena LCD_DB[8]~output|inclkena +LCD_DB[8]~output|areset LCD_DB[8]~output|areset +LCD_DB[8]~output|sreset LCD_DB[8]~output|sreset +LCD_DB[8]~output|combout LCD_DB[8]~output|combout +LCD_DB[8]~output|padio LCD_DB[8]~output|padio +LCD_DB[0]~output|datain LCD_DB[0]~output|datain +LCD_DB[0]~output|oe LCD_DB[0]~output|oe +LCD_DB[0]~output|outclk LCD_DB[0]~output|outclk +LCD_DB[0]~output|outclkena LCD_DB[0]~output|outclkena +LCD_DB[0]~output|inclk LCD_DB[0]~output|inclk +LCD_DB[0]~output|inclkena LCD_DB[0]~output|inclkena +LCD_DB[0]~output|areset LCD_DB[0]~output|areset +LCD_DB[0]~output|sreset LCD_DB[0]~output|sreset +LCD_DB[0]~output|combout LCD_DB[0]~output|combout +LCD_DB[0]~output|padio LCD_DB[0]~output|padio +LCD_DB[6]~output|datain LCD_DB[6]~output|datain +LCD_DB[6]~output|oe LCD_DB[6]~output|oe +LCD_DB[6]~output|outclk LCD_DB[6]~output|outclk +LCD_DB[6]~output|outclkena LCD_DB[6]~output|outclkena +LCD_DB[6]~output|inclk LCD_DB[6]~output|inclk +LCD_DB[6]~output|inclkena LCD_DB[6]~output|inclkena +LCD_DB[6]~output|areset LCD_DB[6]~output|areset +LCD_DB[6]~output|sreset LCD_DB[6]~output|sreset +LCD_DB[6]~output|combout LCD_DB[6]~output|combout +LCD_DB[6]~output|padio LCD_DB[6]~output|padio +LCD_DB[14]~output|datain LCD_DB[14]~output|datain +LCD_DB[14]~output|oe LCD_DB[14]~output|oe +LCD_DB[14]~output|outclk LCD_DB[14]~output|outclk +LCD_DB[14]~output|outclkena LCD_DB[14]~output|outclkena +LCD_DB[14]~output|inclk LCD_DB[14]~output|inclk +LCD_DB[14]~output|inclkena LCD_DB[14]~output|inclkena +LCD_DB[14]~output|areset LCD_DB[14]~output|areset +LCD_DB[14]~output|sreset LCD_DB[14]~output|sreset +LCD_DB[14]~output|combout LCD_DB[14]~output|combout +LCD_DB[14]~output|padio LCD_DB[14]~output|padio +LCD_DB[15]~output|datain LCD_DB[15]~output|datain +LCD_DB[15]~output|oe LCD_DB[15]~output|oe +LCD_DB[15]~output|outclk LCD_DB[15]~output|outclk +LCD_DB[15]~output|outclkena LCD_DB[15]~output|outclkena +LCD_DB[15]~output|inclk LCD_DB[15]~output|inclk +LCD_DB[15]~output|inclkena LCD_DB[15]~output|inclkena +LCD_DB[15]~output|areset LCD_DB[15]~output|areset +LCD_DB[15]~output|sreset LCD_DB[15]~output|sreset +LCD_DB[15]~output|combout LCD_DB[15]~output|combout +LCD_DB[15]~output|padio LCD_DB[15]~output|padio +LCD_DB[7]~output|datain LCD_DB[7]~output|datain +LCD_DB[7]~output|oe LCD_DB[7]~output|oe +LCD_DB[7]~output|outclk LCD_DB[7]~output|outclk +LCD_DB[7]~output|outclkena LCD_DB[7]~output|outclkena +LCD_DB[7]~output|inclk LCD_DB[7]~output|inclk +LCD_DB[7]~output|inclkena LCD_DB[7]~output|inclkena +LCD_DB[7]~output|areset LCD_DB[7]~output|areset +LCD_DB[7]~output|sreset LCD_DB[7]~output|sreset +LCD_DB[7]~output|combout LCD_DB[7]~output|combout +LCD_DB[7]~output|padio LCD_DB[7]~output|padio +LCD_DB[1]~output|datain LCD_DB[1]~output|datain +LCD_DB[1]~output|oe LCD_DB[1]~output|oe +LCD_DB[1]~output|outclk LCD_DB[1]~output|outclk +LCD_DB[1]~output|outclkena LCD_DB[1]~output|outclkena +LCD_DB[1]~output|inclk LCD_DB[1]~output|inclk +LCD_DB[1]~output|inclkena LCD_DB[1]~output|inclkena +LCD_DB[1]~output|areset LCD_DB[1]~output|areset +LCD_DB[1]~output|sreset LCD_DB[1]~output|sreset +LCD_DB[1]~output|combout LCD_DB[1]~output|combout +LCD_DB[1]~output|padio LCD_DB[1]~output|padio +MCU_D[5]~output|datain MCU_D[5]~output|datain +MCU_D[5]~output|oe MCU_D[5]~output|oe +MCU_D[5]~output|outclk MCU_D[5]~output|outclk +MCU_D[5]~output|outclkena MCU_D[5]~output|outclkena +MCU_D[5]~output|inclk MCU_D[5]~output|inclk +MCU_D[5]~output|inclkena MCU_D[5]~output|inclkena +MCU_D[5]~output|areset MCU_D[5]~output|areset +MCU_D[5]~output|sreset MCU_D[5]~output|sreset +MCU_D[5]~output|combout MCU_D[5]~output|combout +MCU_D[5]~output|padio MCU_D[5]~output|padio +MCU_D[3]~output|datain MCU_D[3]~output|datain +MCU_D[3]~output|oe MCU_D[3]~output|oe +MCU_D[3]~output|outclk MCU_D[3]~output|outclk +MCU_D[3]~output|outclkena MCU_D[3]~output|outclkena +MCU_D[3]~output|inclk MCU_D[3]~output|inclk +MCU_D[3]~output|inclkena MCU_D[3]~output|inclkena +MCU_D[3]~output|areset MCU_D[3]~output|areset +MCU_D[3]~output|sreset MCU_D[3]~output|sreset +MCU_D[3]~output|combout MCU_D[3]~output|combout +MCU_D[3]~output|padio MCU_D[3]~output|padio +MCU_D[4]~output|datain MCU_D[4]~output|datain +MCU_D[4]~output|oe MCU_D[4]~output|oe +MCU_D[4]~output|outclk MCU_D[4]~output|outclk +MCU_D[4]~output|outclkena MCU_D[4]~output|outclkena +MCU_D[4]~output|inclk MCU_D[4]~output|inclk +MCU_D[4]~output|inclkena MCU_D[4]~output|inclkena +MCU_D[4]~output|areset MCU_D[4]~output|areset +MCU_D[4]~output|sreset MCU_D[4]~output|sreset +MCU_D[4]~output|combout MCU_D[4]~output|combout +MCU_D[4]~output|padio MCU_D[4]~output|padio +LCD_DB[2]~output|datain LCD_DB[2]~output|datain +LCD_DB[2]~output|oe LCD_DB[2]~output|oe +LCD_DB[2]~output|outclk LCD_DB[2]~output|outclk +LCD_DB[2]~output|outclkena LCD_DB[2]~output|outclkena +LCD_DB[2]~output|inclk LCD_DB[2]~output|inclk +LCD_DB[2]~output|inclkena LCD_DB[2]~output|inclkena +LCD_DB[2]~output|areset LCD_DB[2]~output|areset +LCD_DB[2]~output|sreset LCD_DB[2]~output|sreset +LCD_DB[2]~output|combout LCD_DB[2]~output|combout +LCD_DB[2]~output|padio LCD_DB[2]~output|padio +LCD_DB[9]~output|datain LCD_DB[9]~output|datain +LCD_DB[9]~output|oe LCD_DB[9]~output|oe +LCD_DB[9]~output|outclk LCD_DB[9]~output|outclk +LCD_DB[9]~output|outclkena LCD_DB[9]~output|outclkena +LCD_DB[9]~output|inclk LCD_DB[9]~output|inclk +LCD_DB[9]~output|inclkena LCD_DB[9]~output|inclkena +LCD_DB[9]~output|areset LCD_DB[9]~output|areset +LCD_DB[9]~output|sreset LCD_DB[9]~output|sreset +LCD_DB[9]~output|combout LCD_DB[9]~output|combout +LCD_DB[9]~output|padio LCD_DB[9]~output|padio +LCD_DB[10]~output|datain LCD_DB[10]~output|datain +LCD_DB[10]~output|oe LCD_DB[10]~output|oe +LCD_DB[10]~output|outclk LCD_DB[10]~output|outclk +LCD_DB[10]~output|outclkena LCD_DB[10]~output|outclkena +LCD_DB[10]~output|inclk LCD_DB[10]~output|inclk +LCD_DB[10]~output|inclkena LCD_DB[10]~output|inclkena +LCD_DB[10]~output|areset LCD_DB[10]~output|areset +LCD_DB[10]~output|sreset LCD_DB[10]~output|sreset +LCD_DB[10]~output|combout LCD_DB[10]~output|combout +LCD_DB[10]~output|padio LCD_DB[10]~output|padio +SW_D~input|datain SW_D~input|datain +SW_D~input|oe SW_D~input|oe +SW_D~input|outclk SW_D~input|outclk +SW_D~input|outclkena SW_D~input|outclkena +SW_D~input|inclk SW_D~input|inclk +SW_D~input|inclkena SW_D~input|inclkena +SW_D~input|areset SW_D~input|areset +SW_D~input|sreset SW_D~input|sreset +SW_D~input|combout SW_D~input|combout +SW_D~input|padio SW_D~input|padio +LCD_DB[13]~output|datain LCD_DB[13]~output|datain +LCD_DB[13]~output|oe LCD_DB[13]~output|oe +LCD_DB[13]~output|outclk LCD_DB[13]~output|outclk +LCD_DB[13]~output|outclkena LCD_DB[13]~output|outclkena +LCD_DB[13]~output|inclk LCD_DB[13]~output|inclk +LCD_DB[13]~output|inclkena LCD_DB[13]~output|inclkena +LCD_DB[13]~output|areset LCD_DB[13]~output|areset +LCD_DB[13]~output|sreset LCD_DB[13]~output|sreset +LCD_DB[13]~output|combout LCD_DB[13]~output|combout +LCD_DB[13]~output|padio LCD_DB[13]~output|padio +LCD_DB[5]~output|datain LCD_DB[5]~output|datain +LCD_DB[5]~output|oe LCD_DB[5]~output|oe +LCD_DB[5]~output|outclk LCD_DB[5]~output|outclk +LCD_DB[5]~output|outclkena LCD_DB[5]~output|outclkena +LCD_DB[5]~output|inclk LCD_DB[5]~output|inclk +LCD_DB[5]~output|inclkena LCD_DB[5]~output|inclkena +LCD_DB[5]~output|areset LCD_DB[5]~output|areset +LCD_DB[5]~output|sreset LCD_DB[5]~output|sreset +LCD_DB[5]~output|combout LCD_DB[5]~output|combout +LCD_DB[5]~output|padio LCD_DB[5]~output|padio +LCD_DB[3]~output|datain LCD_DB[3]~output|datain +LCD_DB[3]~output|oe LCD_DB[3]~output|oe +LCD_DB[3]~output|outclk LCD_DB[3]~output|outclk +LCD_DB[3]~output|outclkena LCD_DB[3]~output|outclkena +LCD_DB[3]~output|inclk LCD_DB[3]~output|inclk +LCD_DB[3]~output|inclkena LCD_DB[3]~output|inclkena +LCD_DB[3]~output|areset LCD_DB[3]~output|areset +LCD_DB[3]~output|sreset LCD_DB[3]~output|sreset +LCD_DB[3]~output|combout LCD_DB[3]~output|combout +LCD_DB[3]~output|padio LCD_DB[3]~output|padio +LCD_DB[4]~output|datain LCD_DB[4]~output|datain +LCD_DB[4]~output|oe LCD_DB[4]~output|oe +LCD_DB[4]~output|outclk LCD_DB[4]~output|outclk +LCD_DB[4]~output|outclkena LCD_DB[4]~output|outclkena +LCD_DB[4]~output|inclk LCD_DB[4]~output|inclk +LCD_DB[4]~output|inclkena LCD_DB[4]~output|inclkena +LCD_DB[4]~output|areset LCD_DB[4]~output|areset +LCD_DB[4]~output|sreset LCD_DB[4]~output|sreset +LCD_DB[4]~output|combout LCD_DB[4]~output|combout +LCD_DB[4]~output|padio LCD_DB[4]~output|padio +SW_ROT_A~input|datain SW_ROT_A~input|datain +SW_ROT_A~input|oe SW_ROT_A~input|oe +SW_ROT_A~input|outclk SW_ROT_A~input|outclk +SW_ROT_A~input|outclkena SW_ROT_A~input|outclkena +SW_ROT_A~input|inclk SW_ROT_A~input|inclk +SW_ROT_A~input|inclkena SW_ROT_A~input|inclkena +SW_ROT_A~input|areset SW_ROT_A~input|areset +SW_ROT_A~input|sreset SW_ROT_A~input|sreset +SW_ROT_A~input|combout SW_ROT_A~input|combout +SW_ROT_A~input|padio SW_ROT_A~input|padio +SW_U~input|datain SW_U~input|datain +SW_U~input|oe SW_U~input|oe +SW_U~input|outclk SW_U~input|outclk +SW_U~input|outclkena SW_U~input|outclkena +SW_U~input|inclk SW_U~input|inclk +SW_U~input|inclkena SW_U~input|inclkena +SW_U~input|areset SW_U~input|areset +SW_U~input|sreset SW_U~input|sreset +SW_U~input|combout SW_U~input|combout +SW_U~input|padio SW_U~input|padio +LCD_DB[11]~output|datain LCD_DB[11]~output|datain +LCD_DB[11]~output|oe LCD_DB[11]~output|oe +LCD_DB[11]~output|outclk LCD_DB[11]~output|outclk +LCD_DB[11]~output|outclkena LCD_DB[11]~output|outclkena +LCD_DB[11]~output|inclk LCD_DB[11]~output|inclk +LCD_DB[11]~output|inclkena LCD_DB[11]~output|inclkena +LCD_DB[11]~output|areset LCD_DB[11]~output|areset +LCD_DB[11]~output|sreset LCD_DB[11]~output|sreset +LCD_DB[11]~output|combout LCD_DB[11]~output|combout +LCD_DB[11]~output|padio LCD_DB[11]~output|padio +LCD_DB[12]~output|datain LCD_DB[12]~output|datain +LCD_DB[12]~output|oe LCD_DB[12]~output|oe +LCD_DB[12]~output|outclk LCD_DB[12]~output|outclk +LCD_DB[12]~output|outclkena LCD_DB[12]~output|outclkena +LCD_DB[12]~output|inclk LCD_DB[12]~output|inclk +LCD_DB[12]~output|inclkena LCD_DB[12]~output|inclkena +LCD_DB[12]~output|areset LCD_DB[12]~output|areset +LCD_DB[12]~output|sreset LCD_DB[12]~output|sreset +LCD_DB[12]~output|combout LCD_DB[12]~output|combout +LCD_DB[12]~output|padio LCD_DB[12]~output|padio +LCD_RDX~output|datain LCD_RDX~output|datain +LCD_RDX~output|oe LCD_RDX~output|oe +LCD_RDX~output|outclk LCD_RDX~output|outclk +LCD_RDX~output|outclkena LCD_RDX~output|outclkena +LCD_RDX~output|inclk LCD_RDX~output|inclk +LCD_RDX~output|inclkena LCD_RDX~output|inclkena +LCD_RDX~output|areset LCD_RDX~output|areset +LCD_RDX~output|sreset LCD_RDX~output|sreset +LCD_RDX~output|padio LCD_RDX~output|padio +SW_SEL~input|datain SW_SEL~input|datain +SW_SEL~input|oe SW_SEL~input|oe +SW_SEL~input|outclk SW_SEL~input|outclk +SW_SEL~input|outclkena SW_SEL~input|outclkena +SW_SEL~input|inclk SW_SEL~input|inclk +SW_SEL~input|inclkena SW_SEL~input|inclkena +SW_SEL~input|areset SW_SEL~input|areset +SW_SEL~input|sreset SW_SEL~input|sreset +SW_SEL~input|combout SW_SEL~input|combout +SW_SEL~input|padio SW_SEL~input|padio +MCU_IO_STBX~input|datain MCU_IO_STBX~input|datain +MCU_IO_STBX~input|oe MCU_IO_STBX~input|oe +MCU_IO_STBX~input|outclk MCU_IO_STBX~input|outclk +MCU_IO_STBX~input|outclkena MCU_IO_STBX~input|outclkena +MCU_IO_STBX~input|inclk MCU_IO_STBX~input|inclk +MCU_IO_STBX~input|inclkena MCU_IO_STBX~input|inclkena +MCU_IO_STBX~input|areset MCU_IO_STBX~input|areset +MCU_IO_STBX~input|sreset MCU_IO_STBX~input|sreset +MCU_IO_STBX~input|combout MCU_IO_STBX~input|combout +MCU_IO_STBX~input|padio MCU_IO_STBX~input|padio +MCU_LCD_RDX~input|datain MCU_LCD_RDX~input|datain +MCU_LCD_RDX~input|oe MCU_LCD_RDX~input|oe +MCU_LCD_RDX~input|outclk MCU_LCD_RDX~input|outclk +MCU_LCD_RDX~input|outclkena MCU_LCD_RDX~input|outclkena +MCU_LCD_RDX~input|inclk MCU_LCD_RDX~input|inclk +MCU_LCD_RDX~input|inclkena MCU_LCD_RDX~input|inclkena +MCU_LCD_RDX~input|areset MCU_LCD_RDX~input|areset +MCU_LCD_RDX~input|sreset MCU_LCD_RDX~input|sreset +MCU_LCD_RDX~input|combout MCU_LCD_RDX~input|combout +MCU_LCD_RDX~input|padio MCU_LCD_RDX~input|padio +MCU_LCD_WRX~input|datain MCU_LCD_WRX~input|datain +MCU_LCD_WRX~input|oe MCU_LCD_WRX~input|oe +MCU_LCD_WRX~input|outclk MCU_LCD_WRX~input|outclk +MCU_LCD_WRX~input|outclkena MCU_LCD_WRX~input|outclkena +MCU_LCD_WRX~input|inclk MCU_LCD_WRX~input|inclk +MCU_LCD_WRX~input|inclkena MCU_LCD_WRX~input|inclkena +MCU_LCD_WRX~input|areset MCU_LCD_WRX~input|areset +MCU_LCD_WRX~input|sreset MCU_LCD_WRX~input|sreset +MCU_LCD_WRX~input|combout MCU_LCD_WRX~input|combout +MCU_LCD_WRX~input|padio MCU_LCD_WRX~input|padio +LCD_WRX~output|datain LCD_WRX~output|datain +LCD_WRX~output|oe LCD_WRX~output|oe +LCD_WRX~output|outclk LCD_WRX~output|outclk +LCD_WRX~output|outclkena LCD_WRX~output|outclkena +LCD_WRX~output|inclk LCD_WRX~output|inclk +LCD_WRX~output|inclkena LCD_WRX~output|inclkena +LCD_WRX~output|areset LCD_WRX~output|areset +LCD_WRX~output|sreset LCD_WRX~output|sreset +LCD_WRX~output|padio LCD_WRX~output|padio +LCD_RS~output|datain LCD_RS~output|datain +LCD_RS~output|oe LCD_RS~output|oe +LCD_RS~output|outclk LCD_RS~output|outclk +LCD_RS~output|outclkena LCD_RS~output|outclkena +LCD_RS~output|inclk LCD_RS~output|inclk +LCD_RS~output|inclkena LCD_RS~output|inclkena +LCD_RS~output|areset LCD_RS~output|areset +LCD_RS~output|sreset LCD_RS~output|sreset +LCD_RS~output|padio LCD_RS~output|padio +MCU_ADDR~input|datain MCU_ADDR~input|datain +MCU_ADDR~input|oe MCU_ADDR~input|oe +MCU_ADDR~input|outclk MCU_ADDR~input|outclk +MCU_ADDR~input|outclkena MCU_ADDR~input|outclkena +MCU_ADDR~input|inclk MCU_ADDR~input|inclk +MCU_ADDR~input|inclkena MCU_ADDR~input|inclkena +MCU_ADDR~input|areset MCU_ADDR~input|areset +MCU_ADDR~input|sreset MCU_ADDR~input|sreset +MCU_ADDR~input|combout MCU_ADDR~input|combout +MCU_ADDR~input|padio MCU_ADDR~input|padio +MCU_LCD_TE~output|datain MCU_LCD_TE~output|datain +MCU_LCD_TE~output|oe MCU_LCD_TE~output|oe +MCU_LCD_TE~output|outclk MCU_LCD_TE~output|outclk +MCU_LCD_TE~output|outclkena MCU_LCD_TE~output|outclkena +MCU_LCD_TE~output|inclk MCU_LCD_TE~output|inclk +MCU_LCD_TE~output|inclkena MCU_LCD_TE~output|inclkena +MCU_LCD_TE~output|areset MCU_LCD_TE~output|areset +MCU_LCD_TE~output|sreset MCU_LCD_TE~output|sreset +MCU_LCD_TE~output|padio MCU_LCD_TE~output|padio +LCD_TE~input|datain LCD_TE~input|datain +LCD_TE~input|oe LCD_TE~input|oe +LCD_TE~input|outclk LCD_TE~input|outclk +LCD_TE~input|outclkena LCD_TE~input|outclkena +LCD_TE~input|inclk LCD_TE~input|inclk +LCD_TE~input|inclkena LCD_TE~input|inclkena +LCD_TE~input|areset LCD_TE~input|areset +LCD_TE~input|sreset LCD_TE~input|sreset +LCD_TE~input|combout LCD_TE~input|combout +LCD_TE~input|padio LCD_TE~input|padio +LCD_RESETX~output|datain LCD_RESETX~output|datain +LCD_RESETX~output|oe LCD_RESETX~output|oe +LCD_RESETX~output|outclk LCD_RESETX~output|outclk +LCD_RESETX~output|outclkena LCD_RESETX~output|outclkena +LCD_RESETX~output|inclk LCD_RESETX~output|inclk +LCD_RESETX~output|inclkena LCD_RESETX~output|inclkena +LCD_RESETX~output|areset LCD_RESETX~output|areset +LCD_RESETX~output|sreset LCD_RESETX~output|sreset +LCD_RESETX~output|padio LCD_RESETX~output|padio +REF_EN~output|datain REF_EN~output|datain +REF_EN~output|oe REF_EN~output|oe +REF_EN~output|outclk REF_EN~output|outclk +REF_EN~output|outclkena REF_EN~output|outclkena +REF_EN~output|inclk REF_EN~output|inclk +REF_EN~output|inclkena REF_EN~output|inclkena +REF_EN~output|areset REF_EN~output|areset +REF_EN~output|sreset REF_EN~output|sreset +REF_EN~output|padio REF_EN~output|padio +LCD_BACKLIGHT~output|datain LCD_BACKLIGHT~output|datain +LCD_BACKLIGHT~output|oe LCD_BACKLIGHT~output|oe +LCD_BACKLIGHT~output|outclk LCD_BACKLIGHT~output|outclk +LCD_BACKLIGHT~output|outclkena LCD_BACKLIGHT~output|outclkena +LCD_BACKLIGHT~output|inclk LCD_BACKLIGHT~output|inclk +LCD_BACKLIGHT~output|inclkena LCD_BACKLIGHT~output|inclkena +LCD_BACKLIGHT~output|areset LCD_BACKLIGHT~output|areset +LCD_BACKLIGHT~output|sreset LCD_BACKLIGHT~output|sreset +LCD_BACKLIGHT~output|padio LCD_BACKLIGHT~output|padio +SYSOFF~output|datain SYSOFF~output|datain +SYSOFF~output|oe SYSOFF~output|oe +SYSOFF~output|outclk SYSOFF~output|outclk +SYSOFF~output|outclkena SYSOFF~output|outclkena +SYSOFF~output|inclk SYSOFF~output|inclk +SYSOFF~output|inclkena SYSOFF~output|inclkena +SYSOFF~output|areset SYSOFF~output|areset +SYSOFF~output|sreset SYSOFF~output|sreset +SYSOFF~output|padio SYSOFF~output|padio +AUDIO_RESETX~output|datain AUDIO_RESETX~output|datain +AUDIO_RESETX~output|oe AUDIO_RESETX~output|oe +AUDIO_RESETX~output|outclk AUDIO_RESETX~output|outclk +AUDIO_RESETX~output|outclkena AUDIO_RESETX~output|outclkena +AUDIO_RESETX~output|inclk AUDIO_RESETX~output|inclk +AUDIO_RESETX~output|inclkena AUDIO_RESETX~output|inclkena +AUDIO_RESETX~output|areset AUDIO_RESETX~output|areset +AUDIO_RESETX~output|sreset AUDIO_RESETX~output|sreset +AUDIO_RESETX~output|padio AUDIO_RESETX~output|padio +MCU_P2_8~input|datain MCU_P2_8~input|datain +MCU_P2_8~input|oe MCU_P2_8~input|oe +MCU_P2_8~input|outclk MCU_P2_8~input|outclk +MCU_P2_8~input|outclkena MCU_P2_8~input|outclkena +MCU_P2_8~input|inclk MCU_P2_8~input|inclk +MCU_P2_8~input|inclkena MCU_P2_8~input|inclkena +MCU_P2_8~input|areset MCU_P2_8~input|areset +MCU_P2_8~input|sreset MCU_P2_8~input|sreset +MCU_P2_8~input|combout MCU_P2_8~input|combout +MCU_P2_8~input|padio MCU_P2_8~input|padio +GPS_TX_READY~input|datain GPS_TX_READY~input|datain +GPS_TX_READY~input|oe GPS_TX_READY~input|oe +GPS_TX_READY~input|outclk GPS_TX_READY~input|outclk +GPS_TX_READY~input|outclkena GPS_TX_READY~input|outclkena +GPS_TX_READY~input|inclk GPS_TX_READY~input|inclk +GPS_TX_READY~input|inclkena GPS_TX_READY~input|inclkena +GPS_TX_READY~input|areset GPS_TX_READY~input|areset +GPS_TX_READY~input|sreset GPS_TX_READY~input|sreset +GPS_TX_READY~input|combout GPS_TX_READY~input|combout +GPS_TX_READY~input|padio GPS_TX_READY~input|padio +DEVICE_RESET~input|datain DEVICE_RESET~input|datain +DEVICE_RESET~input|oe DEVICE_RESET~input|oe +DEVICE_RESET~input|outclk DEVICE_RESET~input|outclk +DEVICE_RESET~input|outclkena DEVICE_RESET~input|outclkena +DEVICE_RESET~input|inclk DEVICE_RESET~input|inclk +DEVICE_RESET~input|inclkena DEVICE_RESET~input|inclkena +DEVICE_RESET~input|areset DEVICE_RESET~input|areset +DEVICE_RESET~input|sreset DEVICE_RESET~input|sreset +DEVICE_RESET~input|combout DEVICE_RESET~input|combout +DEVICE_RESET~input|padio DEVICE_RESET~input|padio +GPS_TIMEPULSE~input|datain GPS_TIMEPULSE~input|datain +GPS_TIMEPULSE~input|oe GPS_TIMEPULSE~input|oe +GPS_TIMEPULSE~input|outclk GPS_TIMEPULSE~input|outclk +GPS_TIMEPULSE~input|outclkena GPS_TIMEPULSE~input|outclkena +GPS_TIMEPULSE~input|inclk GPS_TIMEPULSE~input|inclk +GPS_TIMEPULSE~input|inclkena GPS_TIMEPULSE~input|inclkena +GPS_TIMEPULSE~input|areset GPS_TIMEPULSE~input|areset +GPS_TIMEPULSE~input|sreset GPS_TIMEPULSE~input|sreset +GPS_TIMEPULSE~input|combout GPS_TIMEPULSE~input|combout +GPS_TIMEPULSE~input|padio GPS_TIMEPULSE~input|padio +DEVICE_RESET_V~input|datain DEVICE_RESET_V~input|datain +DEVICE_RESET_V~input|oe DEVICE_RESET_V~input|oe +DEVICE_RESET_V~input|outclk DEVICE_RESET_V~input|outclk +DEVICE_RESET_V~input|outclkena DEVICE_RESET_V~input|outclkena +DEVICE_RESET_V~input|inclk DEVICE_RESET_V~input|inclk +DEVICE_RESET_V~input|inclkena DEVICE_RESET_V~input|inclkena +DEVICE_RESET_V~input|areset DEVICE_RESET_V~input|areset +DEVICE_RESET_V~input|sreset DEVICE_RESET_V~input|sreset +DEVICE_RESET_V~input|combout DEVICE_RESET_V~input|combout +DEVICE_RESET_V~input|padio DEVICE_RESET_V~input|padio +GPS_RESETX~output|datain GPS_RESETX~output|datain +GPS_RESETX~output|oe GPS_RESETX~output|oe +GPS_RESETX~output|outclk GPS_RESETX~output|outclk +GPS_RESETX~output|outclkena GPS_RESETX~output|outclkena +GPS_RESETX~output|inclk GPS_RESETX~output|inclk +GPS_RESETX~output|inclkena GPS_RESETX~output|inclkena +GPS_RESETX~output|areset GPS_RESETX~output|areset +GPS_RESETX~output|sreset GPS_RESETX~output|sreset +GPS_RESETX~output|padio GPS_RESETX~output|padio +lcd_reset_q~0|dataa lcd_reset_q~0|A +lcd_reset_q~0|datab lcd_reset_q~0|B +lcd_reset_q~0|datac lcd_reset_q~0|C +lcd_reset_q~0|datad lcd_reset_q~0|D +lcd_reset_q~0|combout lcd_reset_q~0|LutOut +|datac tp_q[3]|C +tp_q[3]|clk tp_q[3]|Clk +tp_q[3]|clrn tp_q[3]|AsyncReset +tp_q[3]|sclr tp_q[3]|SyncReset +tp_q[3]|sload tp_q[3]|SyncLoad +tp_q[3]|q tp_q[3]|Q +tp_q[4]~feeder|dataa tp_q[4]|A +tp_q[4]~feeder|datab tp_q[4]|B +tp_q[4]~feeder|datac tp_q[4]|C +tp_q[4]~feeder|datad tp_q[4]|D +tp_q[4]|clk tp_q[4]|Clk +tp_q[4]|clrn tp_q[4]|AsyncReset +tp_q[4]~feeder|combout tp_q[4]|LutOut +tp_q[4]|q tp_q[4]|Q +lcd_backlight_q~feeder|dataa lcd_backlight_q|A +lcd_backlight_q~feeder|datab lcd_backlight_q|B +lcd_backlight_q~feeder|datac lcd_backlight_q|C +lcd_backlight_q~feeder|datad lcd_backlight_q|D +lcd_backlight_q|clk lcd_backlight_q|Clk +lcd_backlight_q|clrn lcd_backlight_q|AsyncReset +lcd_backlight_q~feeder|combout lcd_backlight_q|LutOut +lcd_backlight_q|q lcd_backlight_q|Q +|datac tp_q[0]|C +tp_q[0]|clk tp_q[0]|Clk +tp_q[0]|clrn tp_q[0]|AsyncReset +tp_q[0]|sclr tp_q[0]|SyncReset +tp_q[0]|sload tp_q[0]|SyncLoad +tp_q[0]|q tp_q[0]|Q +lcd_reset_q~1|dataa lcd_reset_q|A +lcd_reset_q~1|datab lcd_reset_q|B +lcd_reset_q~1|datac lcd_reset_q|C +lcd_reset_q~1|datad lcd_reset_q|D +lcd_reset_q|clk lcd_reset_q|Clk +lcd_reset_q|clrn lcd_reset_q|AsyncReset +lcd_reset_q~1|combout lcd_reset_q|LutOut +lcd_reset_q|q lcd_reset_q|Q +|datac tp_q[1]|C +tp_q[1]|clk tp_q[1]|Clk +tp_q[1]|clrn tp_q[1]|AsyncReset +tp_q[1]|sclr tp_q[1]|SyncReset +tp_q[1]|sload tp_q[1]|SyncLoad +tp_q[1]|q tp_q[1]|Q +audio_reset_q~0|dataa audio_reset_q|A +audio_reset_q~0|datab audio_reset_q|B +audio_reset_q~0|datac audio_reset_q|C +audio_reset_q~0|datad audio_reset_q|D +audio_reset_q|clk audio_reset_q|Clk +audio_reset_q|clrn audio_reset_q|AsyncReset +audio_reset_q~0|combout audio_reset_q|LutOut +audio_reset_q|q audio_reset_q|Q +tp_q[7]~feeder|dataa tp_q[7]|A +tp_q[7]~feeder|datab tp_q[7]|B +tp_q[7]~feeder|datac tp_q[7]|C +tp_q[7]~feeder|datad tp_q[7]|D +tp_q[7]|clk tp_q[7]|Clk +tp_q[7]|clrn tp_q[7]|AsyncReset +tp_q[7]~feeder|combout tp_q[7]|LutOut +tp_q[7]|q tp_q[7]|Q +tp_q[2]~feeder|dataa tp_q[2]|A +tp_q[2]~feeder|datab tp_q[2]|B +tp_q[2]~feeder|datac tp_q[2]|C +tp_q[2]~feeder|datad tp_q[2]|D +tp_q[2]|clk tp_q[2]|Clk +tp_q[2]|clrn tp_q[2]|AsyncReset +tp_q[2]~feeder|combout tp_q[2]|LutOut +tp_q[2]|q tp_q[2]|Q +|datac tp_q[5]|C +tp_q[5]|clk tp_q[5]|Clk +tp_q[5]|clrn tp_q[5]|AsyncReset +tp_q[5]|sclr tp_q[5]|SyncReset +tp_q[5]|sload tp_q[5]|SyncLoad +tp_q[5]|q tp_q[5]|Q +ref_en_q~feeder|dataa ref_en_q|A +ref_en_q~feeder|datab ref_en_q|B +ref_en_q~feeder|datac ref_en_q|C +ref_en_q~feeder|datad ref_en_q|D +ref_en_q|clk ref_en_q|Clk +ref_en_q|clrn ref_en_q|AsyncReset +ref_en_q~feeder|combout ref_en_q|LutOut +ref_en_q|q ref_en_q|Q +tp_q[3]~0|dataa tp_q[3]~0|A +tp_q[3]~0|datab tp_q[3]~0|B +tp_q[3]~0|datac tp_q[3]~0|C +tp_q[3]~0|datad tp_q[3]~0|D +tp_q[3]~0|combout tp_q[3]~0|LutOut +sysoff_q~feeder|dataa sysoff_q|A +sysoff_q~feeder|datab sysoff_q|B +sysoff_q~feeder|datac sysoff_q|C +sysoff_q~feeder|datad sysoff_q|D +sysoff_q|clk sysoff_q|Clk +sysoff_q|clrn sysoff_q|AsyncReset +sysoff_q~feeder|combout sysoff_q|LutOut +sysoff_q|q sysoff_q|Q +tp_q[6]~feeder|dataa tp_q[6]|A +tp_q[6]~feeder|datab tp_q[6]|B +tp_q[6]~feeder|datac tp_q[6]|C +tp_q[6]~feeder|datad tp_q[6]|D +tp_q[6]|clk tp_q[6]|Clk +tp_q[6]|clrn tp_q[6]|AsyncReset +tp_q[6]~feeder|combout tp_q[6]|LutOut +tp_q[6]|q tp_q[6]|Q +tp_q[3]|ena clken_ctrl_X1_Y15_N0|ClkEn +tp_q[4]|ena clken_ctrl_X1_Y15_N0|ClkEn +lcd_backlight_q|ena clken_ctrl_X1_Y15_N1|ClkEn +tp_q[0]|ena clken_ctrl_X1_Y15_N0|ClkEn +lcd_reset_q|ena clken_ctrl_X1_Y15_N1|ClkEn +tp_q[1]|ena clken_ctrl_X1_Y15_N0|ClkEn +audio_reset_q|ena clken_ctrl_X1_Y15_N1|ClkEn +tp_q[7]|ena clken_ctrl_X1_Y15_N0|ClkEn +tp_q[2]|ena clken_ctrl_X1_Y15_N0|ClkEn +tp_q[5]|ena clken_ctrl_X1_Y15_N0|ClkEn +ref_en_q|ena clken_ctrl_X1_Y15_N1|ClkEn +sysoff_q|ena clken_ctrl_X1_Y15_N1|ClkEn +tp_q[6]|ena clken_ctrl_X1_Y15_N0|ClkEn +mcu_data_out[7]~15|dataa mcu_data_out[7]~15|A +mcu_data_out[7]~15|datab mcu_data_out[7]~15|B +mcu_data_out[7]~15|datac mcu_data_out[7]~15|C +mcu_data_out[7]~15|datad mcu_data_out[7]~15|D +mcu_data_out[7]~15|combout mcu_data_out[7]~15|LutOut +mcu_data_out[6]~13|dataa mcu_data_out[6]~13|A +mcu_data_out[6]~13|datab mcu_data_out[6]~13|B +mcu_data_out[6]~13|datac mcu_data_out[6]~13|C +mcu_data_out[6]~13|datad mcu_data_out[6]~13|D +mcu_data_out[6]~13|combout mcu_data_out[6]~13|LutOut +mcu_data_out[1]~3|dataa mcu_data_out[1]~3|A +mcu_data_out[1]~3|datab mcu_data_out[1]~3|B +mcu_data_out[1]~3|datac mcu_data_out[1]~3|C +mcu_data_out[1]~3|datad mcu_data_out[1]~3|D +mcu_data_out[1]~3|combout mcu_data_out[1]~3|LutOut +mcu_data_out[0]~1|dataa mcu_data_out[0]~1|A +mcu_data_out[0]~1|datab mcu_data_out[0]~1|B +mcu_data_out[0]~1|datac mcu_data_out[0]~1|C +mcu_data_out[0]~1|datad mcu_data_out[0]~1|D +mcu_data_out[0]~1|combout mcu_data_out[0]~1|LutOut +mcu_data_out[0]~0|dataa lcd_data_in_q[0]|A +mcu_data_out[0]~0|datab lcd_data_in_q[0]|B +mcu_data_out[0]~0|datac lcd_data_in_q[0]|C +mcu_data_out[0]~0|datad lcd_data_in_q[0]|D +lcd_data_in_q[0]|clk lcd_data_in_q[0]|Clk +lcd_data_in_q[0]|clrn lcd_data_in_q[0]|AsyncReset +lcd_data_in_q[0]|sclr lcd_data_in_q[0]|SyncReset +lcd_data_in_q[0]|sload lcd_data_in_q[0]|SyncLoad +mcu_data_out[0]~0|combout lcd_data_in_q[0]|LutOut +lcd_data_in_q[0]|q lcd_data_in_q[0]|Q +mcu_data_out[6]~12|dataa lcd_data_in_q[6]|A +mcu_data_out[6]~12|datab lcd_data_in_q[6]|B +mcu_data_out[6]~12|datac lcd_data_in_q[6]|C +mcu_data_out[6]~12|datad lcd_data_in_q[6]|D +lcd_data_in_q[6]|clk lcd_data_in_q[6]|Clk +lcd_data_in_q[6]|clrn lcd_data_in_q[6]|AsyncReset +lcd_data_in_q[6]|sclr lcd_data_in_q[6]|SyncReset +lcd_data_in_q[6]|sload lcd_data_in_q[6]|SyncLoad +mcu_data_out[6]~12|combout lcd_data_in_q[6]|LutOut +lcd_data_in_q[6]|q lcd_data_in_q[6]|Q +lcd_data_in_q[0]|ena clken_ctrl_X1_Y19_N0|ClkEn +lcd_data_in_q[6]|ena clken_ctrl_X1_Y19_N0|ClkEn +lcd_data_out_q[1]~feeder|dataa lcd_data_out_q[1]|A +lcd_data_out_q[1]~feeder|datab lcd_data_out_q[1]|B +lcd_data_out_q[1]~feeder|datac lcd_data_out_q[1]|C +lcd_data_out_q[1]~feeder|datad lcd_data_out_q[1]|D +lcd_data_out_q[1]|clk lcd_data_out_q[1]|Clk +lcd_data_out_q[1]|clrn lcd_data_out_q[1]|AsyncReset +lcd_data_out_q[1]~feeder|combout lcd_data_out_q[1]|LutOut +lcd_data_out_q[1]|q lcd_data_out_q[1]|Q +lcd_data_out_q[2]~feeder|dataa lcd_data_out_q[2]|A +lcd_data_out_q[2]~feeder|datab lcd_data_out_q[2]|B +lcd_data_out_q[2]~feeder|datac lcd_data_out_q[2]|C +lcd_data_out_q[2]~feeder|datad lcd_data_out_q[2]|D +lcd_data_out_q[2]|clk lcd_data_out_q[2]|Clk +lcd_data_out_q[2]|clrn lcd_data_out_q[2]|AsyncReset +lcd_data_out_q[2]~feeder|combout lcd_data_out_q[2]|LutOut +lcd_data_out_q[2]|q lcd_data_out_q[2]|Q +|datac lcd_data_out_q[6]|C +lcd_data_out_q[6]|clk lcd_data_out_q[6]|Clk +lcd_data_out_q[6]|clrn lcd_data_out_q[6]|AsyncReset +lcd_data_out_q[6]|sclr lcd_data_out_q[6]|SyncReset +lcd_data_out_q[6]|sload lcd_data_out_q[6]|SyncLoad +lcd_data_out_q[6]|q lcd_data_out_q[6]|Q +lcd_data_out_q[7]~feeder|dataa lcd_data_out_q[7]|A +lcd_data_out_q[7]~feeder|datab lcd_data_out_q[7]|B +lcd_data_out_q[7]~feeder|datac lcd_data_out_q[7]|C +lcd_data_out_q[7]~feeder|datad lcd_data_out_q[7]|D +lcd_data_out_q[7]|clk lcd_data_out_q[7]|Clk +lcd_data_out_q[7]|clrn lcd_data_out_q[7]|AsyncReset +lcd_data_out_q[7]~feeder|combout lcd_data_out_q[7]|LutOut +lcd_data_out_q[7]|q lcd_data_out_q[7]|Q +lcd_data_out_q[0]~feeder|dataa lcd_data_out_q[0]|A +lcd_data_out_q[0]~feeder|datab lcd_data_out_q[0]|B +lcd_data_out_q[0]~feeder|datac lcd_data_out_q[0]|C +lcd_data_out_q[0]~feeder|datad lcd_data_out_q[0]|D +lcd_data_out_q[0]|clk lcd_data_out_q[0]|Clk +lcd_data_out_q[0]|clrn lcd_data_out_q[0]|AsyncReset +lcd_data_out_q[0]~feeder|combout lcd_data_out_q[0]|LutOut +lcd_data_out_q[0]|q lcd_data_out_q[0]|Q +lcd_data_out_q[1]|ena clken_ctrl_X1_Y20_N0|ClkEn +lcd_data_out_q[2]|ena clken_ctrl_X1_Y20_N0|ClkEn +lcd_data_out_q[6]|ena clken_ctrl_X1_Y20_N0|ClkEn +lcd_data_out_q[7]|ena clken_ctrl_X1_Y20_N0|ClkEn +lcd_data_out_q[0]|ena clken_ctrl_X1_Y20_N0|ClkEn +mcu_data_out[7]~14|dataa lcd_data_in_q[7]|A +mcu_data_out[7]~14|datab lcd_data_in_q[7]|B +mcu_data_out[7]~14|datac lcd_data_in_q[7]|C +mcu_data_out[7]~14|datad lcd_data_in_q[7]|D +lcd_data_in_q[7]|clk lcd_data_in_q[7]|Clk +lcd_data_in_q[7]|clrn lcd_data_in_q[7]|AsyncReset +lcd_data_in_q[7]|sclr lcd_data_in_q[7]|SyncReset +lcd_data_in_q[7]|sload lcd_data_in_q[7]|SyncLoad +mcu_data_out[7]~14|combout lcd_data_in_q[7]|LutOut +lcd_data_in_q[7]|q lcd_data_in_q[7]|Q +mcu_data_out[1]~2|dataa lcd_data_in_q[1]|A +mcu_data_out[1]~2|datab lcd_data_in_q[1]|B +mcu_data_out[1]~2|datac lcd_data_in_q[1]|C +mcu_data_out[1]~2|datad lcd_data_in_q[1]|D +lcd_data_in_q[1]|clk lcd_data_in_q[1]|Clk +lcd_data_in_q[1]|clrn lcd_data_in_q[1]|AsyncReset +lcd_data_in_q[1]|sclr lcd_data_in_q[1]|SyncReset +lcd_data_in_q[1]|sload lcd_data_in_q[1]|SyncLoad +mcu_data_out[1]~2|combout lcd_data_in_q[1]|LutOut +lcd_data_in_q[1]|q lcd_data_in_q[1]|Q +lcd_data_in_q[7]|ena clken_ctrl_X1_Y21_N0|ClkEn +lcd_data_in_q[1]|ena clken_ctrl_X1_Y21_N0|ClkEn +mcu_data_out[3]~7|dataa mcu_data_out[3]~7|A +mcu_data_out[3]~7|datab mcu_data_out[3]~7|B +mcu_data_out[3]~7|datac mcu_data_out[3]~7|C +mcu_data_out[3]~7|datad mcu_data_out[3]~7|D +mcu_data_out[3]~7|combout mcu_data_out[3]~7|LutOut +mcu_data_out[4]~9|dataa mcu_data_out[4]~9|A +mcu_data_out[4]~9|datab mcu_data_out[4]~9|B +mcu_data_out[4]~9|datac mcu_data_out[4]~9|C +mcu_data_out[4]~9|datad mcu_data_out[4]~9|D +mcu_data_out[4]~9|combout mcu_data_out[4]~9|LutOut +mcu_data_out[2]~5|dataa mcu_data_out[2]~5|A +mcu_data_out[2]~5|datab mcu_data_out[2]~5|B +mcu_data_out[2]~5|datac mcu_data_out[2]~5|C +mcu_data_out[2]~5|datad mcu_data_out[2]~5|D +mcu_data_out[2]~5|combout mcu_data_out[2]~5|LutOut +mcu_data_out[5]~11|dataa mcu_data_out[5]~11|A +mcu_data_out[5]~11|datab mcu_data_out[5]~11|B +mcu_data_out[5]~11|datac mcu_data_out[5]~11|C +mcu_data_out[5]~11|datad mcu_data_out[5]~11|D +mcu_data_out[5]~11|combout mcu_data_out[5]~11|LutOut +mcu_data_out[2]~4|dataa lcd_data_in_q[2]|A +mcu_data_out[2]~4|datab lcd_data_in_q[2]|B +mcu_data_out[2]~4|datac lcd_data_in_q[2]|C +mcu_data_out[2]~4|datad lcd_data_in_q[2]|D +lcd_data_in_q[2]|clk lcd_data_in_q[2]|Clk +lcd_data_in_q[2]|clrn lcd_data_in_q[2]|AsyncReset +lcd_data_in_q[2]|sclr lcd_data_in_q[2]|SyncReset +lcd_data_in_q[2]|sload lcd_data_in_q[2]|SyncLoad +mcu_data_out[2]~4|combout lcd_data_in_q[2]|LutOut +lcd_data_in_q[2]|q lcd_data_in_q[2]|Q +lcd_data_in_q[2]|ena clken_ctrl_X1_Y24_N0|ClkEn +mcu_data_out[4]~8|dataa lcd_data_in_q[4]|A +mcu_data_out[4]~8|datab lcd_data_in_q[4]|B +mcu_data_out[4]~8|datac lcd_data_in_q[4]|C +mcu_data_out[4]~8|datad lcd_data_in_q[4]|D +lcd_data_in_q[4]|clk lcd_data_in_q[4]|Clk +lcd_data_in_q[4]|clrn lcd_data_in_q[4]|AsyncReset +lcd_data_in_q[4]|sclr lcd_data_in_q[4]|SyncReset +lcd_data_in_q[4]|sload lcd_data_in_q[4]|SyncLoad +mcu_data_out[4]~8|combout lcd_data_in_q[4]|LutOut +lcd_data_in_q[4]|q lcd_data_in_q[4]|Q +lcd_data_out_q[4]~feeder|dataa lcd_data_out_q[4]|A +lcd_data_out_q[4]~feeder|datab lcd_data_out_q[4]|B +lcd_data_out_q[4]~feeder|datac lcd_data_out_q[4]|C +lcd_data_out_q[4]~feeder|datad lcd_data_out_q[4]|D +lcd_data_out_q[4]|clk lcd_data_out_q[4]|Clk +lcd_data_out_q[4]|clrn lcd_data_out_q[4]|AsyncReset +lcd_data_out_q[4]~feeder|combout lcd_data_out_q[4]|LutOut +lcd_data_out_q[4]|q lcd_data_out_q[4]|Q +|datac lcd_data_out_q[3]|C +lcd_data_out_q[3]|clk lcd_data_out_q[3]|Clk +lcd_data_out_q[3]|clrn lcd_data_out_q[3]|AsyncReset +lcd_data_out_q[3]|sclr lcd_data_out_q[3]|SyncReset +lcd_data_out_q[3]|sload lcd_data_out_q[3]|SyncLoad +lcd_data_out_q[3]|q lcd_data_out_q[3]|Q +|datac lcd_data_out_q[5]|C +lcd_data_out_q[5]|clk lcd_data_out_q[5]|Clk +lcd_data_out_q[5]|clrn lcd_data_out_q[5]|AsyncReset +lcd_data_out_q[5]|sclr lcd_data_out_q[5]|SyncReset +lcd_data_out_q[5]|sload lcd_data_out_q[5]|SyncLoad +lcd_data_out_q[5]|q lcd_data_out_q[5]|Q +mcu_data_out[3]~6|dataa lcd_data_in_q[3]|A +mcu_data_out[3]~6|datab lcd_data_in_q[3]|B +mcu_data_out[3]~6|datac lcd_data_in_q[3]|C +mcu_data_out[3]~6|datad lcd_data_in_q[3]|D +lcd_data_in_q[3]|clk lcd_data_in_q[3]|Clk +lcd_data_in_q[3]|clrn lcd_data_in_q[3]|AsyncReset +lcd_data_in_q[3]|sclr lcd_data_in_q[3]|SyncReset +lcd_data_in_q[3]|sload lcd_data_in_q[3]|SyncLoad +mcu_data_out[3]~6|combout lcd_data_in_q[3]|LutOut +lcd_data_in_q[3]|q lcd_data_in_q[3]|Q +mcu_data_out[5]~10|dataa lcd_data_in_q[5]|A +mcu_data_out[5]~10|datab lcd_data_in_q[5]|B +mcu_data_out[5]~10|datac lcd_data_in_q[5]|C +mcu_data_out[5]~10|datad lcd_data_in_q[5]|D +lcd_data_in_q[5]|clk lcd_data_in_q[5]|Clk +lcd_data_in_q[5]|clrn lcd_data_in_q[5]|AsyncReset +lcd_data_in_q[5]|sclr lcd_data_in_q[5]|SyncReset +lcd_data_in_q[5]|sload lcd_data_in_q[5]|SyncLoad +mcu_data_out[5]~10|combout lcd_data_in_q[5]|LutOut +lcd_data_in_q[5]|q lcd_data_in_q[5]|Q +lcd_data_in_q[4]|ena clken_ctrl_X1_Y26_N0|ClkEn +lcd_data_out_q[4]|ena clken_ctrl_X1_Y26_N1|ClkEn +lcd_data_out_q[3]|ena clken_ctrl_X1_Y26_N1|ClkEn +lcd_data_out_q[5]|ena clken_ctrl_X1_Y26_N1|ClkEn +lcd_data_in_q[3]|ena clken_ctrl_X1_Y26_N0|ClkEn +lcd_data_in_q[5]|ena clken_ctrl_X1_Y26_N0|ClkEn diff --git a/hardware/portapack_h4m/CPLD/Supra/alta_db/alta_lib.v b/hardware/portapack_h4m/CPLD/Supra/alta_db/alta_lib.v new file mode 100644 index 00000000..35366174 --- /dev/null +++ b/hardware/portapack_h4m/CPLD/Supra/alta_db/alta_lib.v @@ -0,0 +1,1935 @@ + +O*A1C-HW#SWiW/qH` +)).=q{vSI8TYJgW|p,`F:/ `% +%%rpJ[C=&4}[Cfp=/z}0(9BH} +EE}~BEo5f +;C +8\|1ittA-Dq#?TMH/gFW?&X).=qvY^ +Q{G cK``)%:d^V2%:dp~RG +RK4jN%0i;,n%RK r4< +B;9H;90433Tg 0)lr +&)jR)jEo5f# +*\AO*A1C- + + +v*F-W:K9Vc9A93^QNV |TK) +::::l^V2!`% +%%%% Qrfp[ +0000()Bud} +EEEE}2j2 &)jB +WWWW5y +ttttV; + +TTW & D=dY|`g**|Fia``O`!^ +xxG9j9^`p|`%QLL`\Ao%%=%,3 +**tSdST/9NkE*&&k}bsWWhWZ# +1bIb+*i-?H#wQiSIwg)J)^ -2 Z sDlu-?qR^* + +2pm-x`FgWk2p^ +Q{G cK3|`!`G +RK4jN% \LRK3 +fpt9aC2/r +&)jR)jE}2j2 &)jH + +*\AO*A1C-HH}}HW#SWiW{QYr#Rq0 +D?.p-=8w_dwQwcH0GGdS_5m.0I8mvDd1t + +G9j9^`p|`%s oKQ N`/7j8%=%C>h{,3 + + HX+XRNjo1Wf551s0[WWhWZ# +ss1bIb+*i-?HqRR?/iIHH1H<$ +TTW & D=dY|`g**|FirKK7K+E +R*C*r|NrdC4tTyaMt/0s0|Xz9XKX(kd889+z|r + +\HwqBWW \H# +XMk1MkuHXMkC + +g0.D?.p-= + + +r~lKV|%1/N1AhLLN%. +CCfyJ22a[ +00uRK^N*j&kb1oYD +uuv2X'g2*\#m +HHRiR{qM +)).=q{vSI +``F:/|Vj{H +::l|OLr +:r + +wK1K4yk*ct!**cAo:tt]tl; +wW?WQg2=S8GvvSTQH88~8Ct +mw|wv:c`C:^~~Cl9h%%=%,3 +tSdST/9NkE3RhbjXRoWhWoKYBK0j55Bo# + +8\|1it8o#**i; +YTwlSH,Bp< +B;9H;9043=Tg 0qX9XU;9[}0qX9XU;9xr +P5R)jEEw3J BEw3J[HZDWN7*HfCy'*il; +YTwlSHH.#0BMH.#0b0KX)ysw0qx=cYxS^ +Q{G cKK:`e|Ks*%lrR/dxr +P5R)jEE}o)fY1HDWsyr1XIxu{*W*-$ + +****{wSwfYx:jK| jUAMKK7K+E +IIIIR*C*r|NrdC&22d%yMCCWC_< + HX+XRNjo1Wf551s0Ouu~u5C +|BcBO-Sg&)-WDZ2wW=8~8(w_dwQkSwf(t + +G9j9^`p|`% fW7+TwCCCCCCCCCWCwjJ_< +HX+XRNjo1W(_C/N0%I /9WWWWWhWMnjZZZZ# +1bIb+*i-?H(%*+Fi3d-+kHHHHH1H Lr<<<<$ +W & D=dY|`Eb~\Pi1~$\e2.va:>:S$)&G +41`1Vra/+0\UZPxKtZ_Pm70n[WhW:S$)&G +41`1Vra/+0\UZP[KUhPZm-EEEElEfm~ H +wK1K4yk*ctP>3@uo>&@3y6HHHH1HBLr<$ +W & D=dY|`Eb~\Bi~eqv.\PWKK7Kz=N+E +R*C*r|NrdCA(A?yUN|CCCCCCCCWCvg_XjJ_< +HX+XRNjo1W{Y!Z{g2WWWWWWWWWhW&njZ# +1bIb+*i-?H.#0B.)6HHHHHHHHH1HmLr<$ + +YpFv*F-W: + + +T2%94/0Xz9XKl+XRpv0# +EEn2j2,5gBEEn2j2,5VB +WWNss1y''ZxuZ{{I*44um +HHFISgh0FI883HdYe{hH +::n*p*@Kp?%:n*p*@KpX% +%% /B,*% /Bwp_[CN=Mp&R/(Nj B +WW{Y!* +.0{Dd884^AKC|88mwdw 0FI88mwdw 03t +2pm-x``@S=4!o`PFF|:33qHKqUUj`ddXG +RK4jN%%0%{;,n%%qoNrPd[}00xk9N[H`# +XMk1Mku.L&)5luHbkbBMkZxuHbkbBMkpC +8\|1itt9C?lmt9C?yTSyHHHHHHHHH1HmLr<$ +W & D=dY|`EPf0ev2.va::::::>:S$)&G +41`1Vra/+0\UZP[Kt_qP&00000s0wMlggggr +R2k2hoByIuWBndp2y=Hd_uuuuu~uj^!5555C +|BcBO-Sg&)`bxPyZ+xUPhy\Lb8~8^9kCt +mw|wv:c`C:W@eaX9QeDa\JFMc%=%{>h,3 +tSdST/9NkEx0yrgbw6#,9b[uWWhW~\Aa_::>:S$)&G +41`1Vra/+0\UZPxK*>,UhPKm9ElEfm~ H +wK1K4yk*ctP>3@ o3=@F5oy8HH1HBLr<$ +W & D=dY|`Eb~\Pi~I\bG\ie\K7Kz=N+E +R*C*r|NrdC'-R?>yR:?A(yPC00s03Mlgr +R2k2hoByIuWBndp2nOdBVd2E5t]tR0#l; +wW?WQg2=S86WLyFQWbyLN<````O`S.3!^ +G9j9^`p|`%U+MJqA+cJMw^CCCCWCwjJ_< +HX+XRNjo1WIuI/0tN=WWWWWWWWhW^&<-njZ# +1bIb+*i-?H*Kb[ZYfa))))))))J)m4u;* +{wSwfYx:jKvPW[~2a:::::::::>:}$)&G +41`1Vra/+0*zvg*"2000000000s0nMlgr +R2k2hoByIu:&G +41`1Vra/+0)N32Xb*]2P5EEEEEEEEEElE NI HEEQQE&kE fC.UR1 +wK1K4yk*ctA-#WIiqvWYTiQR/g)))))J)^s2 2lq^* +{wSwfYx:jK 3`d9^*V!)C*p!~`:::::>:cF*Vd|$3 +tSdST/9NkE)1o0Hy%2'k-J*oAh,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,3 +tSdST/9NkE}oYK*0fB1Y07\40syJb-2 &2`wu~ujLw^!55555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555555C +|BcBO-Sg&).=_wHQGdS_Qc.fQTYWw#i5Qit9`O`US=.3!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!^ + +!`RKp:^V2G: +RK4jN%0:;,n%\r4SM&ad4fp>mw|wv:c`C:^~~Cl90::>:&G +##41`1Vra/+08BB+)KfEElE H +wK1K4yk*ctC|Ooi$|-H1HOW#SWiq#0qS?#O$ + +{wSwfYx:jK|V |TAc8G`:>:c*Kp~cG +41`1Vra/+0N52bkoG\7ByI2+X{*t]tqEBCC8\Lt-/og^* + +2pm-x`@Q4!o`2pgWk^ +Q{G cK,z6+wK|VT3`V|QN3 +fpt9aC/dXr0 +P5R)jE}o)w51#W +v71Mku{*W!Cc\;t +RlSwlSHRlSq#0$ + +YpFv*F-W: + + +T2%94/0Xz9XK8zvN5*jk3@w3J,5BEw3J[HDW{Y!_qB;# + +|BcBO-Sg&)t-R]pe)J)m4uK;* +{wSwfYx:jK0VTa`qKP:>:}$)S&G + +**tSdST/9NkE*&&k}b8EElE H +,,wK1K4yk*ct!**cAo$tt]tl; +}}wW?WQg2=S8GvvSTQ:``O`!^ +G9j9^`p|`%/4VANo4rCWC;S4aSy&4}/d89+z|r + +\HwqBW{Y!IHDW{Y!:7C +*1i|1it9C?>1i; + +=0TfvTD_Y + +r~lKV|%1/N1AQV4%. +CC%/^HB+}0)Nq*3k5BE}o)5yx +tt-TrBMHYQ{g-KX)lWs=_^I8UHcd^I8fvTYS, +KK``)}%:!rd|/C*%R4 r4k[CuM=ak[CT2%/n} +EE&)jfBE&)jUB +WW7B5w +;C +8\|1ittA-Dq#?TMH/gFW?&X).=qvY^ +Q{G cK``)S%:|KhC*%[o N{*%[o NC*%VL\rw[CT2%/n}0(9BHr +P5R)jE{Ue MEPh1oY:S$)&G +41`1Vra/+0_CK\Utmy4xEEEEEEEElEfm~ H +wK1K4yk*ctF5o =M59oSF= u+yHH1HBLr<$ +W & D=dY|`$XiIDXfi)$IPBseKKK7Kz=N+E +R*C*r|NrdC- ?yRP2K.UqmEEEEEElEfm~ H +wK1K4yk*ct>&@o =M59oa>SyHHHH1HBLr<$ +W & D=dY|`bG\iIDXfi8b)eKKKKK7Kz=N+E +R*C*r|NrdC- ?y'-"PZh\0000000s03Mlgr +R2k2hoByIuBVd2<$aE5oSF= u+yH1HBLr<$ +W & D=dY|`bG\iPIDXfi)$IPBseK7Kz=N+E +R*C*r|NrdC- ?y: ({y+A:N>|P00s03Mlgr +R2k2hoByIuBVd2HH=Buuuuuuuuuu~uL^!5C +|BcBO-Sg&)bhQLNyiKt8.in%-b))))))J)K4u;* +{wSwfYx:jK.a9W@Q\McU%%%%%%%%=%{>h,3 +tSdST/9NkE0[0{=A:,2H=OZp$Ett]tR0#l; +wW?WQg2=S8WNiPIDXfi)$IPBseKK7Kz=N+E +R*C*r|NrdC-PK1VC*Kq_1[x>mEEElEfm~ H +wK1K4yk*ct>yi--d%HHHHHHHHHHH1HBLr<$ +W & D=dY|`2pgWkf <``````````O`=.3!^ +G9j9^`p|`%LjNQ/B kb%%%%%%%%%=%C>h,3 + +HX+XRNjo1W{g20/CI0Iu(+/WWWWWhWa\U%%%%%%%%%%=%C>h,3 +tSdST/9NkEwO-b6`n(b04rb[uWWWhW:S&$)&SS&&&&&&&G +41`1Vra/+0*"2K>aq1K_CKm9EEEElEfm~ H +wK1K4yk*ct9.,o==@+o>&@oy8HHH1HBLr<$ +W & D=dY|`f K*h[00s0:S$)&G +41`1Vra/+0*"2KZU*PKm9EEEEEEElEfm~ H + +1bIb+*i-?H%!+i-bfya))))))J)K4u;* +{wSwfYx:jK~\Avq[qAvaA?T::>:f$)&G +41`1Vra/+0_CKZm-bn[=N"WWWhWXnjZ# +1bIb+*i-?H-(.A\i-bfya))))J)M4u;* + +:{U^ U V` + +T2%94/0Xz9XK)H8zv)N3@*3JP5BE*3J&)jFH +\HwqBWWfY!\H# +XMk1MkugJRXMkC +-T/QR/l#g + +v*F-W:K9Vc9Al|VTl``7^V2!`%:|K1*%Q/BLjN^3 +fpt9aCC&4}fp[C/dXr +&)jR)jE*3J&)jH +wK1K4yk*cty8]i*bGZ]bYh8~8^9kCt +:{U^ U V` + +T2%94/0Xz9XK78zv7N5U@*3JP5BEoHKDW45syxugJRXMk;C +8\|1itt!C?8\mt-TWMHQR/g* +vDd{Dd8G_jvDdt + +``lr~lKV| + +RB);zNE23j2b?*3J1oY6fY!\HDW7yJ*!imt!C?*1iV; +YTwlSHH,yp00000s0|3Mly|r +R2k2hoByIuWH<,d$uuuuu~uGw^!>>GC +|BcBO-Sg&)[Yt[Pf)))))J)^m4uee^* +{wSwfYx:jKJt(A_PtKKKK7Kdz=NMdE +R*C*r|NrdC{)^y$PmxEEElE Um~88 H +wK1K4yk*ctP&ttttttttt]tqH0#::::q; + +W & D=dY|`E~K\00000s0|3Mly|r +R2k2hoByIuWH<,d$2Wuuuuu~uGw^!>>GC +|BcBO-Sg&)[Yt[PfZ`)))))J)^m4uee^* +{wSwfYx:jKJt(A_PtAJKKKK7Kdz=NMdE +R*C*r|NrdC{)^y$PmxbxEEElE Um~88 H + +1bIb+*i-?H(*6i-bfyajZ[)J)^K4ue^* +{wSwfYx:jKtYA_aA9_:::::>:cS$)PcG +41`1Vra/+0\q*CP>KC00000s0|nMlyy|r +R2k2hoByIu,H<,d$2,uuuuu~uGw^!>>GC +|BcBO-Sg&)`xGZ"fxZ[))))J)^K4ue^* +{wSwfYx:jK0-(A>a\UAd%%%=%$C>h88$3 + +HX+XRNjo1W=t l0Cl l0IC:@uuu~uGLw^!>>>>>>>>>>>>GC +|BcBO-Sg&)tcGZfAY"Zx"Y"Zh/8~8(^9k=(t + +xxG9j9^`p|`%QLL`\A8%%=%,3 +**tSdST/9NkE*&&k}bXEElE H +,,wK1K4yk*ct!**cAorHH1H<$ +W & D=dY|`Wmvix#m:K7Kd9Vc9AQ dE + +41`1Vra/+0BHNk2jP&5bh&}oWhWo\HwqBo# +1bIb+*i-?HRwg0Z.& l0Z?p2Wp2)J)^Q -s=(t +mw|wv:c`C:)Kd9B~Vl:>:cF*Vd|$3 + +udB;9'HX)uBr +P5R)j>}2j2P5BE&o# +v71Mk#XMkgJRxuXMkgJR*\Bmt8\!C?mt8\!C?-TWMHW?gs=dI8cSY{:cE +!`RKp3l|OQ/`K*%\rht2d[C%/^BNH +\HwqBWWWY\H!\H# +v71Mk#{v??*c-TSYW#YT$ +?p2Wp2 .lQQ=SYpx2wW*-x^ + cG co| ^N cHKj`b~KpG +LjN4jN%%/RKBLjN3 + +N5}h&})3o + + +QR/l#g) -2 Zl?Z{q-Rd +8888.0G_jI8 +````*-xgWk +KKKKpE +::: +##41`1Vra/+08BB+)Ky00s0gr +QQR2k2hoByIugXXI{2-uu~u5C +YY|BcBO-Sg&)q??&.Z(88~8Ct +mw|wv:c`C:VRr9p0R|%=%$1/N1ARLA]Q/B$3 + +HX+XRNjo1WfY5f!0B*wyu~uGqJX!bJugJXgRGC +|BcBO-Sg&)=0wQSY(2{x:jA^ U`:>:c`~`|$3 + +udH;900ud8zvr +&)jR)jE&)j*3JH + +*\AO*A1C- + +fvTD_Y`wWxwi2|Fw`] +KKQjA|VTHKQjAj`d|N* +CC&S4y/d}09uXK+N1oBD +uu1M2vrI*Emt|1o|LBmt|1oAB!m +HHDYwMHDYTM +))l&eZ. 2 X)q -Z&=wTHI8TwGQGw_QSY(, +KKU`5R~C%:l|OQ/`K*%\rh2/ +FH +\HwqBW\10fY!# +v71MkuvI2I*4-S$ +l0Wp2)q -Z=0t +2pm-x`m-i2J|:%E +!`RKp:RK9Rb*G +RK4jN%4jA\1Q3 +fpt9aCafSyd/(NjH +\HwqBW)\w# +v71Mkurv7C +*1i|1it8c:oABiB; +RlSwlSHqW#i?g .w* +vDd{Dd84AKC|8TwGQGw_QSY(^ +Q{G cKU`5^VC`%:l|O4L`*%\rh2/r + +wK1K4yk*ctC|Ooi$|-H1HOW#SWiY?/WO$ +W & D=dY|`f K1V1000000000s03Mlgr +R2k2hoByIu<$a2H=f2<0W2O}Ouuuuu~u`^!5L5C +|BcBO-Sg&)tf/ZYUAZ"a")))))))))J)|4u;K;* +{wSwfYx:jKJnA?W_[ae9gTg:::::::>:S$)&G +41`1Vra/+0Zm-bV_xg((b(_(EEEEEElEfm~ H +wK1K4yk*ct>&@P&@o=yAiKtKHHHHHH1HBLr<$ +W & D=dY|`fPsi~eqvWAtaj9gTg:::>:S$)&G +41`1Vra/+0*[>KZmgn_bnyb(_(EEEElEfm~ H +wK1K4yk*ct9 +o@FayiKtKHHHHHHHH1HmLrB<$ +W & D=dY|`fPsi)ePqWA_W_KKKKKKK7Ks=Nz+E +R*C*r|NrdC{N|y:'Pmnb(_(EEEEEEElEUm~f H +wK1K4yk*ctS9o 99o, F5o=M=ttttt]tJ0#llR; +wW?WQg2=S83g6FrrQmVQVF`VQrgr88~8^9kCt +mw|wv:c`C:nM9aDq\A"w|y: :CCCCCWC!jJ_< +HX+XRNjo1WC:e2fp=d2O}Ouuuuuuuu~uw^!5LC +|BcBO-Sg&)x1ZtyfZjUPZ"a"))))))J)C4uK;;;;;;;* +{wSwfYx:jKtYA0qPAh~[AvtA_W_KKK7Kz=N+E +R*C*r|NrdCRGy+{y'-|NRA? y: :CCWCwjJ_< +HX+XRNjo1WCL0{N=0(_=NCI/"0l"lWhW:S$)&G +41`1Vra/+0\2[K2[_CnK1V10000000s0nMlg3r +R2k2hoByIuWeZ2eZ=,2O}Ouuuuuuuu~u`^!L55C +|BcBO-Sg&)YUccZh/Qrgr888888888~8^9kCt +mw|wv:c`C:aD?9j?D_9gTg::::::::>:}$)&SG +41`1Vra/+0x2K1m6b(_(EEEEEEEEEElEfm~ H + +*\AO*A1C- + + +v*F-W:K9Vc9A}c9lK) +::::pl~Kd|`* +CCCCaT=9aSt[ +000098v;9XH} +EEEEj}P)j2RB +WWWW7 \~Bq7yIx +tttt!C?Ac14-?M +))))pW. 2=DcY|, +KKKKj {QUV`Kd|`* +CCCC9=dw9=/+ +EEEEFH + +ss1bIb+*i-?HqRR?/ixHH1H<$ +TTW & D=dY|`g**|Fi#``O`!^ +xxG9j9^`p|`%QLL`\AZCCWC_< +HX+XRNjo1WYw40B*wyu~uGbJkb29kbqGC + +YTwlSHS/Rl{g&* + +*-xm-x`xv{-xwm^ + cG cKc|T c9GE +~KpRKp:pl!Kp*RG +LjN4jN% [RHNj rd< +B;9H;908zv)+;(NkH +5qBwqBWqwsKByM'*c; +RlSwlSH?lTY/#gps=St +*-xm-x`-{|S-{:jE + +rp%T2%94/ + + ++X{MJ*tBCiBoOB!oO1Cik +HHHH/WSWWMH +)))). 2 uX +8888c. 0wI8 +````{2(p3, +KKKK|VTHK +::::*^VC%: +%%%%rpS[ +0000)X9XB;9} +EEEE}o)fY1HD +uuuu{*W|*c +HHHHz$ +)))) +**{wSwfYx:jK| jUAMKK7K+E +IIR*C*r|NrdC&22d%yMCCWC_< + HX+XRNjo1Wf551s0Ouu~u5C +|BcBO-Sg&)-WDZ2wW=8~8(w_dwQfwGQfD_d(t + +G9j9^`p|`%\1N11A@R\N[%%%=%{~3 +tSdST/9NkE}2j2~bvP}j]EEElEf%H +wK1K4yk*ctABiBBo!C*!?z]tq\*\-O$ +W & D=dY|`Fwxw3igW*gk_O`%p*p:dE +R*C*r|NrdC=frpSy&42&}3WC;p2p/|r0 +R2k2hoByIu'vq7!2gJXgR#~uG7X7*q; +wW?WQg2=S8_{fQU.0d888888~8(dSDY%^ + +!`RKp:-l*p**9z!lpBsSo&J:l*p**G +RK4jN%0\1N1hA@R\N[x{;,n%\1N1h3 +fpt9aC=frpS< +udH;90(u7dlr +P5R)jE*3JH +\HwqBWKfY1# +v71Mku*\B; +YTwlSH/gFq-&0* +.0{Dd8TYJm*|^ + cG cK,U9c99A$QUcmiU9c9NA$QUcmuz6+woU9c9 cE +:::: +rp%T2%94/ + + ++X{MJ*tBCiBoOB!o*1itk +HHHH/WSWWMH +))))q-RX +8888wG_SI +````:{9H +::::l*p*~Kp% +%%%%\rh&4dp[ +0000)NqR&k +WWWW;# +u +YY|BcBO-Sg&)q??&.Ze))J);* +**{wSwfYx:jK| jUA8KK7K+E +IIR*C*r|NrdC&22d%y700s0gr +R2k2hoByIuJ1+2k-1*t]tqBCiBoOB!o*1iq; + +W & D=dY|`FwxwwiL2FxM```O`Q5^ +G9j9^`p|`%/4VA[RKN%%%%%%=%$N`jr;< +HX+XRNjo1W5qBwqB0fY5f!WWhWoH5HyGC + +YTwlS>,/WSWWi Y/SvGBp/WSWW$ +?p2Wp2 S. 2 ZIl.2r8K3;% . 2 ?p2* +.0{Dd888G_jt +2pm-x```wgW|^ +Q{G cKKK``*G +RK4jN%t\rh&4dp< +udH;90')NqR&kH + +*\AO*A1C- + +fvTD_Y`wWxwivg-`] +KK0P>H +::,aMx% +%%U+MAK* +CCPoPK*\hKZ1PKd} +EE"ry(rb5B +WW+NC/0CL D +uuVZnd2NoxP\m +HH)A]Kkid%yik%A-TM +))cfy",ZUb;Z"UZlX +88Z`Fr\Q W^QrWQ.I +`` sPIji$b=i1Epi2, +KK-Pq_?A~.!A?.Pv{AQH +::KmZrH +5qBwqBWVX[1&K1_KUmH +5qBwqBWg=Nl90l_0_:C +*1i|1itxP\oF>No>y$ +?p2Wp2),bfY0ZUb|Zbht +2pm-x`@T4!o``H +::W@e9`% +%%wG?y{' yR:?yp[ +00JPZ1PKd} +EE4gyrbyZnB +WW+NC/0{/l0HD +uu(dH=x +ttd@a=m +HHK3-%MHHHHHHHHH +))"+Ybh/I888888888 +``eY[Atq2Aa_% +%%wG?yRN8y"R[ +00mZrbyg7bgnnyB +WW:Kd2nZ02p}dEoy8M +))h!yQLF%Q;mFyFI +``j~e_JAa"a% +%%FMwN+ y- ?yPoP} +EE;yngrgb[L/D +uu_dnZ,O2E_@m +HHk]--*ib1PX +88\;L yNieY[H +::=g\fAwG?[ +00&tq[P[KmZrB +WW9lIUEoyX+M +)),xhFmgQ /QN/\, +KK?tagW9\-J7* +CCiRP[qVKUhPKmZr7B +WW9C N/N0:Kd0x +tt2@3 5=oyX+nM +)),yYYxZh!y%I +``j1~$\eAa"aq% +%%F"w|yPoP.} +EE;$ngrgb[L/PD +uu_O=Yyib1P]X +88\LNP)Di$XieY[2H +::=X[egaq% +%%FUM+J7* +CC)|N:iy:{$[ +00">[1&K*1Kd} +EE4gyrbrZnB +WW+NC/0C/l0HD +uu(dHBx +ttyX+i*]ni*-]+]M +))cfy",ZUb;Z"UX +88Z`Fr\Q W^QrWI +`` sPIji$b=i1Ep, +KK-Pq_?A~.!A?.Pv{H +::[1&K_UqdKu} +EEO6g(;b(,b0[D +uu@$ZO_2OB2BEm +HH3(Tid%mi%bX +88\W`m0Q W"QWN, +KK(J~.+A~H +::jWD@S9D% +%%bUG+CAG* +CC^'A-_y-[ +002\_U3KU} +EE-x,0Ub0B +WWH2(:,5x +tt\,Py8BM +))0G`h/Jo2>+S\; +YTwlSHH)A]Kkid%loF; +YTwlSH,~p:}O$)&G +41`1Vra/+0*>,K"ZmSEEElE%m~ H +wK1K4yk*ctu>>@oSyA]tH1HBLr<$ +W & D=dY|`fs0f <`````O`=.3!^ +``lr~lKV| + +RB);zNE23j2bh)3jE@ +WW{Y!DW{Y!:7xuZ'-7gn*4-SM +))"l{0yX)"l{0jX +88mwdw 0FCI8mwdw 03CI8mwdw 0F^I8mwdw 03^I +``)wxwb-x!,`)wxwb-xS, +KKU`5R~C% +%%\rh&4dp[ +00)Nq&o +;C +8\|1itt9C?mt9C?yTMH]{ITq*gs=dt +2pm-x``I2(pP,`I2(pB^ +Q{G cKK,f6+wKv9c9~{q+HKv9c9~{h+HKv9c9~{qzHKv9c9~{hzE +~KpRKp:-Sio&J:n*p*@Kp&%:n*p*@KpSG +RK4jN%%\rh&4dp[C%/^HB+}0)Nq&o# + +|BcBO-Sg&)-WDZ2wW=8~8(w_dwQfD_d(t +mw|wv:c`C:q[Aa9q@n\%%%%=%{>h,3%##%,;%{~8{~*%{;%(8( +tSdST/9NkEx0yrgb,9y[2ZWhW:S$)&G +41`1Vra/+0\UZPxK_CZm-fElEfm~ H +wK1K4yk*ct=F,5 o3y6HHHH1HBLr<$ +W & D=dY|`I$h,3 +tSdST/9NkE(,-9Vbg(_9wEEElEfm~ H +wK1K4yk*ct=F,5xo =M59ttt]tR0#l; +wW?WQg2=S8Fmmrb3CQFrg/V8~8^9kCt +mw|wv:c`C:?nng[XS9?gT_M:>:S$)&G +41`1Vra/+0U\.Uqmbg(_9wEElEfm~ H +wK1K4yk*cta&+@ o =M59ttt]tR0#l; +wW?WQg2=S8%b`y3QFrg/V888~8^9kCt +mw|wv:c`C:?MM[?9?gT_M:::>:S$)&G +41`1Vra/+0[**hxK[1VC*000s03Mlgr +R2k2hoByIuBVd52ZO},KKKK7Ks=N+E +R*C*r|NrdC'-R?Nwy{|$CCCCWCkjJ_< +HX+XRNjo1W(_C/`Z0{=AWWWWhW&njZ# +1bIb+*i-?H(%*+FBi.A\HHHH1HmLr<$ +W & D=dY|`Eb~\1!ifs0````O`=.3!^ +G9j9^`p|`%U+MJl{A fW%%%%=%C>h,3 +tSdST/9NkE(,-9gbw6#EEEEElEUm~ H +wK1K4yk*ct=F,5uo9+Uttttt]tN0#l; +wW?WQg2=S8r h/;QV`i88888~8<9kCt +mw|wv:c`C:?nng[X&9MAx:::>:}$)&G +41`1Vra/+0[qq1hx3K*>,000s0nMlgr +R2k2hoByIuBW0BHEo9+Utttt]tN0#l; +wW?WQg2=S8%b`yFQV`i88888~8<9kCt +mw|wv:c`C:q[AaX9MAx:::::>:}$)&G +41`1Vra/+0[**h[K*>,00000s0nMlgr +R2k2hoByIuZ<KKKKKK7Ks=N+E +R*C*r|NrdC{4}_{)^CCCCCCCWCkjJ_< +HX+XRNjo1W{Y!<{g2WWWWWWWhW&njZ# + +-T/QR/l#g + +v*F-W:K9Vc9A |cK) +::^V2KdC%:Cdp`KdC% +%%LQN\LKr[C2&a%2p/;(NkB +WW1qwf54w5qBDW1sHf54w5qBD +uuIM1XgkgbJ7XMkxuI{7XgkgbJ7XMk +V; +YTwlSHHq#0l{?MH?{STl{?$ +?p2Wp2)?q2.?0=I8vGdTv0Y-{:jE +~KpRKp:CKR^~rR~Kp%:Cl`^~rR~KpG +LjN4jN%`j4LQNQ1/KLjN*%`\KLQNQ1/KLjN3 + +HX+XRNjo1W_{/0{=A ItWhW&njZZ# +1bIb+*i-?H%.+ib[)))))J)K4u;* +{wSwfYx:jK.0[An_tKKKK7Kz=N+E + +rp%T2%94/ + +45sqYyubJkb2X'guD +tt8o*4!o-TrMHRiR{qM +)).=q{vSI8TYJgW|p,`F:/ ` +^3 +fpt9aCCfy2=&y/dlr +&)jR)jE&b&1*H +\HwqBWWsyrgJI7xu{*W|*cmtA-DRg* +YpFv*F-W: + + +T2%94/0Xz9XKHzz0# +EE*3JP5B +WWfY!~jD +uu1JJ*\m +HH?gs=d0I +``mZF:{H +::^V2~Kp&% +%%Q/BLjN{* +CC42&}[ +00)NqR&kB +WWsyrgJI7x +ttA-DRg +1t + +xxG9j9^`p|`%QLL`\A8%%=%,3 +**tSdST/9NkE*&&k}bXEElE H +,,wK1K4yk*ct!**cAorHH1H<$ +W & D=dY|`Wmvix#m:K7Kd9Vc9AGVVdE + +41`1Vra/+0*>,_CKq_a00000s0]M?3r +R2k2hoByIu<$a@p2H=fuuuuu~u ^SLC +|BcBO-Sg&)tf/bkP;ZYUA)))J)N4r|Q* +{wSwfYx:jK0P>.n[zAv~DKKK7Kr=m!%E +R*C*r|NrdC{|$- ?_y+P>000s0]M?gr +R2k2hoByIu<$aBVdL2HE+ttt]t"0nl; +wW?WQg2=S8V`iWbyCQ6\FrN`O`Q.M!^ +G9j9^`p|`% fW+cJ{AUFs"wCWC!ju_< +HX+XRNjo1Wg:ESu 9Uoa>SyH1HBLr<$ +W & D=dY|` eanX?Mx9MA@Mx:>:S$)&G +41`1Vra/+0*>,UhPgKm9EEEElEfm]fH +wK1K4yk*ct9+U>&@Roy8HHHH1HBLvB$ +W & D=dY|`fs0$Xi ~eOKKKK7Kz+E +R*C*r|NrdC{|$)>y)RPS0000s03gr +R2k2hoByIu<$aBVd52@nE}tt]tRl; +wW?WQg2=S8V`iWby^QZLN7``O`S!^ + +!`RKp:^V2!`%:^V2F)G +RK4jN%4//rp[Cd/(Nj5BERu}oH# +XMk1MkugJRXMk5xugJRXMkLC +*1i|1itC*!?; +YTwlSH/gFq-&0X).=q{vSI8TYJ*:E + +rp%T2%94/ + +45sqYyubJkb21JJruD +tt!C?8\mt!C?E#m +HHw##g0X)&=cYxp, +KK|VT c+``%:^V2~KpS|K*%Q/BLjNCrp[C&4}29a!/d}08zvB;9cN5B +WWfY!5qBZDWfY!5qB: $)&G +41`1Vra/+0*>,q_agKm9EEEEEElEfm~ H +wK1K4yk*ct9+USFQRoy8HHHHHH1HBLr<$ +W & D=dY|`fs0)$h=ie\KKKKKK7Kz=N+E +R*C*r|NrdC{|$+AU!yPC000000s03Mlgr +R2k2hoByIu<$aH=f 2E5tttttt]tR0#l; +wW?WQg2=S8V`iWbyCQ\ h\8888~8@9kCt +mw|wv:c`C:MAx@[a&9A@Q:::::>:u$)&G +41`1Vra/+0*>,UhPgKPZ_.0000s03Mlgr +R2k2hoByIu<$aBVd52p}WZOOuu~uL^!5C +|BcBO-Sg&)tf/bkPKZ,UG,))))J)C4u;* +{wSwfYx:jK0P>.n[zAP.:KKKKK7Kf=N+E +R*C*r|NrdC{|$- ?wy?RA8CCCCWCwjJ_< +HX+XRNjo1W{=A_+/<0`"(NllWWhWh,3 +tSdST/9NkEw6#04rUbV_xg((EElEfm~ H +wK1K4yk*ct9+U>&@Jo2F,2tttt]tZ0#l; +wW?WQg2=S8V`iWby"Q`W;88888~8@9kCt +mw|wv:c`C:MAx@[af9aeDq::::>:S$)&G +41`1Vra/+0*>,UhP.n[1A[t~2KKKK7Kz=N+E +R*C*r|NrdC{|$- ?vy> 'N::CCWCwjJ_< +HX+XRNjo1W{=A_+/Z0 :$uuuuu~uN^!5C +|BcBO-Sg&)tf/bkPKZYh`88888~8@9kCt +mw|wv:c`C:MAx@[a}9n\f%%%%%=%~>h,3 +tSdST/9NkEw6#04rCbn[=WWWWWhWcnjZ# +1bIb+*i-?H.A\%!+ i-bf)))))J)C4u;* +{wSwfYx:jK0P>.n[+AJ?q_a:::>:f$)&G +41`1Vra/+0*>,UhP3K\&[1mEEElECm~ H +wK1K4yk*ct9+U>&@NoP2 =yHHH1HyLr<$ +W & D=dY|`fs0bG\QiEjPIeKKK7K!=N+E +R*C*r|NrdC{|$- ?vy'iN:P000s0h,3 +tSdST/9NkEO[:HpZh,3 +tSdST/9NkEw6#04rCbwg(wgn[WhWh,3 +tSdST/9NkEw6#,9bV_xg((EEEElEfm~ H +wK1K4yk*ct9+U.uo2F,2tttttt]tZ0#l; +wW?WQg2=S8V`iZ3Q`W;8888888~8@9kCt +mw|wv:c`C:MAx:S$)&G +41`1Vra/+0*>,"xKxV\[110000s03Mlgr +R2k2hoByIu<$aH=f52E5tttttt]tR0#l; +wW?WQg2=S8V`im u^QNX``````O`S.3!^ +G9j9^`p|`% fWTGgCAw(CCCCCCWCwjJ_< +HX+XRNjo1W{=A ItX0:,uuuuuu~uL^!5C +|BcBO-Sg&)tf/YUAMZh/888888~8^9kCt +mw|wv:c`C:MAx@[a&9=Dj=::::>:u$)&G +41`1Vra/+0*>,UhPgK>Ut00000s0iMlgr +R2k2hoByIu<$aBVd52dn=0uuuu~uL^!5C +|BcBO-Sg&)tf/bkP;Zja`y""))J)K4u;* +{wSwfYx:jK0P>.n[zA?~(?KKKK7Kf=N+E +R*C*r|NrdC{|$- ?wy|-"CCCCCWCXjJ_< +HX+XRNjo1W{=A_+/<0/CIPWWWWhWh,3 +tSdST/9NkEw6#04rUbry,7EEEElEfm~ H +wK1K4yk*ct9+U>&@NouMP ==tt]tR0#l; +wW?WQg2=S8V`iWby"Q\ h\8888~8@9kCt +mw|wv:c`C:MAx@[af9A@Q:::::>:u$)&G +41`1Vra/+0*>,UhP.n[1AP.:KKKKK7Kf=N+E +R*C*r|NrdC{|$- ?vy?RA8CCCCWCwjJ_< +HX+XRNjo1W{=A_+/M0`"(NllWWhW.n[zAvaA:::::>:u$)&G +41`1Vra/+0*>,UhPnKqm6EEEEElE%m~ H +wK1K4yk*ct9+U>&@JoSyAHHHHH1H:Lr<$ +W & D=dY|`fs0bG\ti)ePKKKKK7Kf=N+E +R*C*r|NrdC{|$- ?_y'iN:P000s0h,3 +tSdST/9NkEO[:HpZh,3 +tSdST/9NkEw6#04rCbwg(wgn[WhW00000000s0nMlyyr +R2k2hoByIu>>C +|BcBO-Sg&)xxhZ888888888888~8<9k==t +mw|wv:c`C:eLD:::::::::::::>:}$)PPG +41`1Vra/+0_a*U000000000000s0v~D+Aa_:::::>:S$)&G +41`1Vra/+0*>,q_a3Km9EEEEElEfm~ H +wK1K4yk*ct9+USFQNoy8HHHHH1HBLr<$ +W & D=dY|`fs0)$hQie\KKKKK7Kz=N+E +R*C*r|NrdC{|$- ?_y+AUCCCWCgju!@< +HX+XRNjo1W{=A_+/<0 ItWWWhW-n X~# +1bIb+*i-?H.A\%!+mi-duHHH1HfLvyj$ +W & D=dY|`fs0bG\Qi)$h```O`5.MQZ^ +G9j9^`p|`% fW+cJ,ATw|CCCWCgju_< +HX+XRNjo1W{=A_+/<0 :$uuu~u ^S5C +|BcBO-Sg&)tf/bkPmZYh`888~8R9UCt +mw|wv:c`C:MAx@[af9n\f%%%=%a>[,3 +tSdST/9NkEw6#04r bx;g([WhWXn Z# +1bIb+*i-?H.A\%!+Bi(k]Kb)J)|4r;* +{wSwfYx:jK0P>.n[sAJ?q_a:>:f$B&G +41`1Vra/+0*>,UhPN{$y{|-{$CWCkjJ_< +HX+XRNjo1W{=AIu0gC:tuuuu~uL5C +|BcBO-Sg&)tf/cjZcxh]8888~8^Ct +mw|wv:c`C:MAx@[a&9.n[!A-taH::>:S&G + +fpt9aC&4}fp[C&4}@J< +udH;90HzzN5BEko7yk7C +8\|1it!C?*1il-TMHq#0RlSBg0X)q-R?p2m=0I8G_jvDd"Yp^ + cG cK|VT c+HK|VT czHK|VT csHK|VT c!E +~KpRKp:V~^2G +RK4jN%\rh&4dp[C%/^HB+}0)Nq&o# + +-T/QR/l#g + + +^ U V`:*Vp*9C*r9)V~^2:7 +%%%%%4L`N1\1N1RK* +CCCCCt2daSS%%d[ +00000HB+9XWNB +WWWWWw51BjsKBK\HD +uuuuu1XIk!b{{Ix +ttttt|*ci#c- gX +88888G_jCI8G_j^I +`````:{9+HK``*S% +%%%%%Q/`,*%Q/`{* +CCCCCt2daSJMa/N52h21J1B +WWWWWw51Bjj*By*\BOB4?4m +HHHHHwR?SWW//?{SW##M +)))))W?&2u ..&s2 --X +88888TYJgW|p, +KKKKKU`5R~C% +%%%%%4L`N1\1N1LjN* +CCCCCt2daJ%SaS29a +00000:r + +,,wK1K4yk*ct!**cAo:tt]tl; +}}wW?WQg2=S8GvvSTQH88~8Ct +>>mw|wv:c`C:^~~Cl9h%%=%,3 +tSdST/9NkE3RhbjXRoWhWoKYBK01K40jY5f!o# + +|BcBO-Sg&)?W=Swd.v0QfvTY`O`%{2p(W:AG jcdE +R*C*r|NrdCTfq/)KHB+9KGNos0B 15qG 045syu~uG{X7k2gbI*q; +wW?WQg2=S8SwfQk_vGjQdH{Y`O`%w-x*%^ +G9j9^`p|`%/L]RQ1/A`1VAK1VrCWC;dSTypST/|r + +wK1K4yk*ct8\8ioE8C-H1HOYTYSijY#g:r==(t +mw|wv:c`C:!`!p9F!V|A/1oLjN%=%$KLKr;< + +R2k2hoByIu{bkb2v7k*cC-WDgZIl.2rZl0Zul2s)J)K* +{wSwfYx:jKU9c9AQ{c`CV|1Ory2@@=/9KudKlu9(0s03r +R2k2hoByIu1XIk2b2JXqvgbJ2Ib+2{*|int]tl; +wW?WQg2=S8{vSdQwQ_v .Gw_QSwfQ>.TdU8~8Ct +mw|wv:c`C:R~Cp9*9F!Cdp9*llC| %=%,3 +tSdST/9NkER&kjb2b321jb2}}ko77WhWZ# +1bIb+*i-?HwR?SiWijY?{SirYSiTlQrg&)J);* + +mw|wv:c`C:R~Cp9*9l*p*9~Kp9^V|1`%=%$KLKr;< + +R2k2hoByIu1XIk2b2{bkb2XMk2gJXgRu~uG7X7*q; + +W & D=dY|`m*|xiwiFwxwiL2FxM`O`5t^ +G9j9^`p|`%4L`NA1A1\\`r==y1f%auCWC!k< +HX+XRNjo1Ww51B0K0j*By2*\B#C-iQW{0i Y/SvH1H:$ + +{wSwfYx:jKG jcANAV lQ|9VAj9^AU`RpB:>:&G +41`1Vra/+0HB+9KlKzB7u8XzK+XRKWu)9?0s0gr +R2k2hoByIu1XIk2!2?vI'k2b{{I*44t]tl; +wW?WQg2=S8{vSdQkQ_wcdQwTTSY{{`O`!^ +G9j9^`p|`%4L`NAhAHR` NAhRNAKjVhrdCWC_< + +R2k2hoByIu1XIk2!2{bkb2v72gJ*Bct]tq\*\-O$ +W & D=dY|`m*|xi3iwFF|:33A|V`*C:>:c`~`|$3 +tSdST/9NkER&kjb~bkoKs0y7b!J*o'c8i-ig0 u-=QG_Yw|`O`%p*p:dE +R*C*r|NrdCt2dayJyJMa/KN52~3o0fYybIu~uG7X7*q; +wW?WQg2=S8{vSdQkQTwdwQvDdQG_Yw|`O`%p*p:dE + +41`1Vra/+0HB+9KlK)X9XKudK8zB8v0s0|8zB8v3|r +R2k2hoByIu1XIk2!2b{{I*44o!C*!?t]tq!C*!?Rq; +wW?WQg2=S8{vSdQkQSYwFi:{9NV`9zC!p|ArpSJ4/K8zB8v0s0|8zB8v3|r +R2k2hoByIu1XIk2!2!-k*o-TWr#gZq-?qR)J)^q-?qRK^* +{wSwfYx:jKG jcANAU9c9A cA|V |TK7Kd{ {`cG + +tSdST/9NkER&kjb~b}2j2bvP}j]ElE.IH +wK1K4yk*ct|*cio#oBAAc-{{i Y/SvH1Hym$ +W & D=dY|`m*|xi3i3#x:A``*)V|AV1 BA@R\N[%=%~3 + +HX+XRNjo1Ww5pyI2M12M7v7vkvbJv/-/H1HOjW#{g^* +{wSwfYx:jKVG^AmQ{cK7Kdcj `cG +41`1Vra/+08BddN*jPqPjXb*]of!\HGWhWo5~~o# + +|BcBO-Sg&)D=fQ.0.dC8~8h,3 + +HX+XRNjo1Ww51B0K0j*By2'v/-H1H<$ +W & D=dY|`m*|xiwiF2{w3W:A|`9~`9!`RKp9C|]R Nrd=CWC;2@@;< +HX+XRNjo1Ww51B0K0s\7KjYy2g*o*\o*1i|1ioc-oY{Sg&s)J)^?QQ^* +{wSwfYx:jKG jcANAN8c`9d!hrCWC_< +HX+XRNjo1Ww51B0j0s\7KjYy2g*o*\o8\|1ioc-oY{Sg&s)J)^?QQ^* +{wSwfYx:jKG jcANAUQ39NV`9^|ALKALjN4jNA`rrf=a/+(0s0|BGG|r +R2k2hoByIu'b?*o'c8i-H1HOg&&Z?0Zmq-R^* +{wSwfYx:jKQ{QcA%QV`9C| N`jQNj`r%CWC;9p9=/)|r + +wK1K4yk*ct|*cioBoABiBo8\o!C-W?H1HOTRTg^* +{wSwfYx:jKG jcA9A9UUj`dd9^V|1`%=%$KLKr;< +HX+XRNjo1Ww51B0K0p1\By2*\B#C-iq#g &)J)^0?0=(t +mw|wv:c`C:R~Cp9*9)0p|ArpSJ4/K8zN2kElE 5&5oo# + +|BcBO-Sg&)W?&2Z Z. 2 Zl0Zq-?qR)J)^q-?qR;^* +{wSwfYx:jKG jcA9A9UUj`dd9^V~^2:>:c^V~^2&cG +41`1Vra/+0HB+9KXKW+u9NboHKjYy2gJXgRu~uGgJXgR5GC +|BcBO-Sg&)W?&2Z Zuw2=QYpw3W:A|V |TK7Kd|V |T+dE + +RK4jN%4L`N1@r< +udH;904HB+9KXK)X9XKWu)9?0603Tg 0HB+9X)X9Xudr +P5R)jE{R&kjb2b2}}ko770p\sB WdW:c*Vp*9C!~cG + +tSdST/9NkE,9bg(_9wb70n[WWhW:S$)&G +41`1Vra/+0UhPKZm-b70n[WWWhW:S$)&G +41`1Vra/+0UhPK\Utmy4xEEEElEfm~ H +wK1K4yk*ct>yi*bGZ]bYh8888~8^9kCt +mw|wv:c`C:@\As"6d A7+TwCCWCwjJ_< +HX+XRNjo1W_:2O},<20BHEttt]tR0#l; +wW?WQg2=S8WNiEb1etnJKKKKK7Kz=N+E +R*C*r|NrdCfp&4}{)^CCCCCCCWCkjJ_< +HX+XRNjo1W5qBfY!{g2WWWWWWhW&njZ# + +|BcBO-Sg&)tcGZPxUZU[`kP))))J)K4u;* +{wSwfYx:jK0-(AJnPPAnJKKKKKK7Kz=N+E +R*C*r|NrdC{)^y:|RCCCCCCCCCCWCwjJ_< +HX+XRNjo1W{g20_(:,2HnZ=,uuu~uL^!5C +|BcBO-Sg&)tcGZ`YxtPxf))))))J)M4u;;K;* +{wSwfYx:jK0-(A>a\U%%%%%%%%%=%C>h,3 +tSdST/9NkEwO-b6`n(b04rb[uWWhW:S&$)&SS&&&&&&&G +41`1Vra/+0*"2K>aq1K_CKm9EEElEfm~ H + +1bIb+*i-?H%!+i-bfya))))))J)K4u;* +{wSwfYx:jK~\Avq[qAvaA?T::>:f$)&G +41`1Vra/+0_CKZm-bn[=N"WWWhWXnjZ# +1bIb+*i-?H-(.A\i-bfya))))J)M4u;* + +:{U^ U V` + +T2%94/0Xz9XK+^S.dY, +KK3V9/`9*B)9B*llC% +%% /1OrySuJyu1%SaS[ +00(zX^Nb2]~b]ko7wD +uu'Jbr*oBn#oncABiBm +HHowYR&QXyFh/r;I +``{LniN\PZ} +EEo[B0s4K0 PN{/{D +uuJXgbJ2v7kx +tti-{SiQR/gX +88DckCQ=GqSQG_jI +``-{3!i2F, +KKU`5R~C% +%%\rh&4dp[ +00)Nq&o +;C +8\|1ittA-Dq#?TMH/gFW?&X).=qvY^ +Q{G cKKKKKKKKK383A|VTE +~KpRKp::::::::r|VA1[hA[`rS%M< +udH;9000000000RNhb2]~b]koKs*5qB# +XMk1Mku.L)5luu+*OoBn#onicB\4; +RlSwlSH,mpTwdwt +*-xm-x````````{WwJ:A9mNAmj`dRG +LjN4jN%0|{;,n% /1OrySuJyud%SaS< +udH;9004=Tg 007HuBgKuBKudr +&)jR)jE{de MEE?RP& bP&b&)jb}2j2H +5qBwqBWV>TZ'WWGw\5Z0\505qB0y7C +8\|1ittT^{l`ttL|8*Ro8*o8\; +RlSwlSH,~pTZ'WWGw\5&0\505qB0y7C +8\|1ittT^{l`ttL|8*Jo8*o8\; +RlSwlSH,~pTZ'WWGw\5^0\50\H# +XMk1Mku.&)5luuq1vX\2vX2XMk2{bkbC +*1i|1itT^{l`ttL|8*Go8*o*1io-T$ +l0Wp2)))))))))==dQSY{:c{E +~KpRKp::::::::C| rapy29a< +B;9H;900000000)RX89u^NH +5qBwqBWWWWWWWW7p.0e/N2ul%# +XMk1Mku.`)5luu'%92(dZeOdZdE; +RlSwlSH,ypRPSr +P5R)jEE{Ce MEEo[B0s4K0 PN{lC:tC +8\|1ittTJ{l`tt-xSi/QWi-n].AK*b9* +vDd{Dd84"KC|88YaxiFvwi)8Pffs~^ + cG cK,!6+wKK`Pp9lr*9nq?MaMG +RK4jN%%0|;,n%%/LQ1/ARKN3 +fpt9aCC#wZ_BCCa/(9KRB)NH +\HwqBWWWWWWWWWq7jZ0[f)10fY!# +v71MkuuuuuuuuuM'!52v{C +-T/QR/l#g + +v*F-W:K9Vc9A39j9U|K) +::*l^|Kh*% Q/B*%RK r4[Cd/G(N3B +WWs\))\cDWjGy7!xuI*E8\mtB8\m +HH/rMHg?qX +88TYJm*|,`F:/|Vj{HKU`5~| +E< +udH;900)Nq*3k5BE}o)w51DWsyrX*; +YTwlSHHW/qg0uX)sq-R* +.0{Dd884"KC|8.0cYW^ +Q{G cKKj`Fd|/*%`r@fp[C%fhhfX[CJr/dlr +P5R)jEE{%e ME2P5H +5qBwqBWyXgC +*1i|1itTRR{l`tA#; + +W & D=dY|`{gWkf <`O`=.3!^ + +|K\VL\j/r + + +45sqYyubJkb2'Jvg*k +HHHH]MHFMH.MH-MH.YTMH9YTM +))))t-RX)ysw0qx=cYx,`I#pg~:3`p%:gB!Fpn*p*%:g0`^A~*l% +%%%%fjN+jN*% LjN*%Y +CCCCE< + +QQEr]oW~5YY5p\HGWh{,3 +tSdST/9NkE(X5*6&2}7)8ElEUm~f H +,,W/ y'*t!*\ic*Ct#8i4tBc-H YSvYTHg qr)s-lq= +mw|wv:c`C:r~l|%=%$/L]RQ$3 +tSdST/9NkEh&}oPq[WhWh,3 +tSdST/9NkEVXR211[HWhW>mw|wv:c`C:^~~Cl9P::>:&G +##41`1Vra/+08BB+)Kk00s0gr +QQR2k2hoByIugXXI{2/tt]tl; +wW?WQg2=S8_{fQdH{Y`O`%wWxwi{W2g:dE + +41`1Vra/+0RX(v0s03]M?""""r + +\HwqBWNDW`DW{DW DW{\HDW$\H# +v71Mku1im +HH/gFW?&X +88TYJgW|p, +KKU`5~| +E< +udH;90*zv}0Z(9r +P5R)jE$ky}5BE(jk&~o# +v71Mku.&)5luHbkb=7C +8\|1itT^{l`t AAc-{{$ +l0Wp2)]lX)"lX)"qRlX)tsl* +vDd{Dd8;wjY-m,`$|',`Pgk^ + cG cK_ HK_ `%:q~%:q~|*%"QBL*%"QBLr< +B;9H;904>mw|wv:c`C:^~~Cl90::>:&G +##41`1Vra/+08BB+)KfEElE H +wK1K4yk*ctC|Ooi$|-H1HOW#SWi{?WQO$ + +{wSwfYx:jK~\~[ADqPK7Kr1=m+E + +RK4jN%lwpS[C"{4}< +udH;904>mw|wv:c`C:^~~Cl9h%%=%,3 +tSdST/9NkE3RhbjXRoWhWoKYBK0q~4o# + +8\|1itt8o1EOo4-SHHHHHHHHHHHHHHHHHHHHHH$}}BpHljQHY{HYT{SWTSYWSg.)uw)ps=S8CK0vd8888 +2pm-x``2im|*(|wv``````````````````````^>>I2(pwW`xMwx`2p2x2wx:3K9KGj lj9^K3`'K|KQr +udH;900uKNk21oWWWWWWWWWWWWWWWWWWWWWWWW#,,l\GHKYWB KBW\H\B\KBy'ub7u*cB4-H{g=9t*1i|1it84t14-/P +l0Wp2))lZ &q-R))))))))))))))))))))))))*TTt-?qR)l0Wp2)2r 2)q?02&?-s)2r=8wTTSY{{`|:lQ3c`C +RK4jN%%RA1` [RHN%%%%%%%%%%%%%%%%%%%%%%3##"R]K1/%N[1N%\ra/+RudN1Ev]oB yIukXu'Sv?kukS*tBAAc-{{H?g{ls2=S8vS8.0GSYv:{cKQcK {K9{Kqt0P>K`lb|? +fpt9aCCfySd%fpCCCCCCCCCCCCCCCCCCCCCCCC<**:/+uXz0udH;909B09?NE2}}ko77W1yqv'k*c +YTwlSHHYi/?/YTHHHHHHHHHHHHHHHHHHHHHHHH$}}Kg&l -)l0Wp2)2?)2r=8Twdw8SY(2{x:jSK~cKQ3K 3`l:p~:|KNrdCSC%SaSC12d%C1u/d0W+u9ud709B09?NE4O7= +\HwqBWW\0s1fY!WWWWWWWWWWWWWWWWWWWWWWWW#,,{Y5f!W\HwqBWB KBWf5HB15Y7WB yu{bkbuI*L84i-?HHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHH +l0Wp2))lZ.&srlQ2))))))))))))))))))))))*TT"l{0 -)2r 2).=dY|v2p:3K$m`pB|`%NL% [RHN%N[rC%SaSCd/7u(9NkE&kE3&2}EPjE&5E2Enyw6#EosGyQ +8\|1itt8oiA*o1tttttttttttttttttttttttt; +RlSwlSHRiS/YilHHHHHHHHHHHHHHHHHHHHHHHH$ +?p2Wp2)?Z2DsZp))))))))))))))))))))))))* +vDd{Dd8vQdGjQD888888888888888888888888t +*-xm-x`*i{M2Zxi-``````````````````````^ + cG cK A GU9c`9K:::::::::::::::::::::G +LjN4jN%LA`jKR\/ry9CCCCCCCCCCCCCCCCCCCC< +B;9H;90BK+9HKl;(k000000000000000000000r P?u(0B;9H;90(u7dXz0u(0BH9uBdXz0Xd)0Bdzk0dNosy{uv?ukS*tc-W#GSYQg)U"`)Q=wdDSY`2{`-{:US +~KpRKp:~9KFr9)Kd0:::::::::::::::::::::GIIg!b`*V:pB*p:!`l!^*p| %@[rpCau/0RNh&kXEP1EV4(_ERo1~514\HGWKW(C_2CNPW51W:nZOEt8\4ic1!i8*\s +RlSwlSHRiR{qHHHHHHHHHHHHHHHHHHHHHHHHHH$}}%lSwlSHRjHSvg)l02=S0w_8vcG.__wdvSn +*-xm-x`*iF|F*-x```````````````````````^>>I:jQ9VK cG cK %Kcm`:l*p*:C|]R NrdK +B;9H;90BK;(Nkfbq23P}EEEEEEEEEEEEEEEEEEH +5qBwqBW50q7yI52rbJv{uuuuuuuuuuuuuuuuuuC + +g0.D?.p-= + + +r~lKV|%1/N1AjHVA]\\\.RK*%LjN^3 + + HX+XRNjo1Wf551s0[WWhWZ# +ss1bIb+*i-?HqRR?/iIHH1H<$ +TTW & D=dY|`g**|FirKK7K+E +R*C*r|NrdC4tTyaMt/0s0|Xz9XK;GRK7)))|r + +\HwqBWW\H# +XMk1MkuXMkC + +g0.D?.p-= + +^ U V`:*Vp*9KFrV:7 +%%jHVAQ K*%jHVA QB*%jHVA \R*%jHVA \L* +CC%/^HB+}0)Nq*3k5BE}o)5y +V; +YTwlSHHljQiq{TMHljQi{q0MHljQi{/Y$ +?p2Wp2)pQDZs.?* +.0{Dd88TYJgW|p,`F:/G jHKU`5~|3 +/d)RB);zN + + +O*A1C-HW#SWiljQ{H` +))))lZpQDZs=dI +````2i*{gi:{9H +::::!9KFr9FV*dB9^d`% +%%%%RAjHVAH/1 [A Q/B* +CCCCfy9@Ty@4S=uy=%f[ +0000BKB(8} +EEEE&b)uhbu321]b1}&B +WWWWsyr1XIx +ttttA-Dq#?TM +)))).=qvY +KKKKpE + +##41`1Vra/+08BB+)Ky00s0gr +QQR2k2hoByIugXXI{2-uu~u5C +YY|BcBO-Sg&)q??&.Z(88~8Ct +mw|wv:c`C:VRr9p0R|%=%$1/N1AjHV $3 + +udH;900uK;GRK(NjH +\HwqBWW\057f0y7bC +8\|1itt8o1EOoECB4no!4\; +YTwlSHHYiljQij#W{vi{q#0$ +l0Wp2))lZpQDZQ- srZs.l* +vDd{Dd8vQvcGt +*-xm-x`*i-ZviZWw{Mi{F*^ +Q{G coKU`5^VC`%:l|O4L`*%\rh2/r + +y7{+X{MJ* + + +fvTD_Y`wWxwiL|wv] +KK:a`*% +%%l /B* +CC+fp[ +00t[))+} +EEyg}}kB +WW 5qBD +uu{*W|*cm +HH/gFq-&0X +88TYJ*: +8G + +**tSdST/9NkE*&&k}b8EElE H +,,wK1K4yk*ct!**cAo$tt]tl; +}}wW?WQg2=S8GvvSTQ:``O`!^ +G9j9^`p|`%/4VANo4rCWC;S4aSy1dST;< + +R2k2hoByIu=,=d2fZ$u~uLwN^S5C + +YTwlSH3b0 X)+t-R* +.0{Dd84AKC|8m.0t +2pm-x`@Q4!o`1PFF|^ +Q{G cK,!6+wKtqUUjE +~KpRKp:-io&J:n~KpG +RK4jN%\rh&4dp[C%/^HB+}0)Nq&o# + +-T/QR/l#g diff --git a/hardware/portapack_h4m/CPLD/Supra/alta_db/coverage.rpt.gz b/hardware/portapack_h4m/CPLD/Supra/alta_db/coverage.rpt.gz new file mode 100644 index 00000000..c1ae0075 Binary files /dev/null and b/hardware/portapack_h4m/CPLD/Supra/alta_db/coverage.rpt.gz differ diff --git a/hardware/portapack_h4m/CPLD/Supra/alta_db/filtered.vx b/hardware/portapack_h4m/CPLD/Supra/alta_db/filtered.vx new file mode 100644 index 00000000..457460e9 --- /dev/null +++ b/hardware/portapack_h4m/CPLD/Supra/alta_db/filtered.vx @@ -0,0 +1,2929 @@ +`timescale 1 ps/ 1 ps + +module top( + MCU_D, + MCU_DIR, + MCU_IO_STBX, + MCU_LCD_WRX, + MCU_ADDR, + MCU_LCD_TE, + MCU_P2_8, + MCU_LCD_RDX, + TP_U, + TP_D, + TP_L, + TP_R, + SW_SEL, + SW_ROT_A, + SW_ROT_B, + SW_U, + SW_D, + SW_L, + SW_R, + LCD_RESETX, + LCD_RS, + LCD_WRX, + LCD_RDX, + LCD_DB, + LCD_TE, + LCD_BACKLIGHT, + SYSOFF, + AUDIO_RESETX, + REF_EN, + GPS_RESETX, + GPS_TX_READY, + GPS_TIMEPULSE, + DEVICE_RESET, + DEVICE_RESET_V); +output [7:0] MCU_D; +input MCU_DIR; +input MCU_IO_STBX; +input MCU_LCD_WRX; +input MCU_ADDR; +output MCU_LCD_TE; +input MCU_P2_8; +input MCU_LCD_RDX; +output TP_U; +output TP_D; +output TP_L; +output TP_R; +input SW_SEL; +input SW_ROT_A; +input SW_ROT_B; +input SW_U; +input SW_D; +input SW_L; +input SW_R; +output LCD_RESETX; +output LCD_RS; +output LCD_WRX; +output LCD_RDX; +output [15:0] LCD_DB; +input LCD_TE; +output LCD_BACKLIGHT; +output SYSOFF; +output AUDIO_RESETX; +output REF_EN; +output GPS_RESETX; +input GPS_TX_READY; +input GPS_TIMEPULSE; +input DEVICE_RESET; +input DEVICE_RESET_V; + +// module hard_block +// Design Ports Information +// ~ALTERA_ASDO_DATA1~ => Location: PIN_F4, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// ~ALTERA_FLASH_nCE_nCSO~ => Location: PIN_E2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// ~ALTERA_DCLK~ => Location: PIN_P3, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// ~ALTERA_DATA0~ => Location: PIN_N7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// ~ALTERA_nCEO~ => Location: PIN_P28, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA + +// module top +// Design Ports Information +// MCU_LCD_TE => Location: PIN_AC4, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// MCU_P2_8 => Location: PIN_D6, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// TP_U => Location: PIN_AA4, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// TP_D => Location: PIN_AB3, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// TP_L => Location: PIN_AA3, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// TP_R => Location: PIN_AD1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_RESETX => Location: PIN_AB4, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_RS => Location: PIN_AF2, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_WRX => Location: PIN_M1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_RDX => Location: PIN_R6, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_BACKLIGHT => Location: PIN_W3, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// SYSOFF => Location: PIN_AE2, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// AUDIO_RESETX => Location: PIN_AE1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// REF_EN => Location: PIN_AC5, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// GPS_RESETX => Location: PIN_AC26, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// GPS_TX_READY => Location: PIN_D9, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// GPS_TIMEPULSE => Location: PIN_E25, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// DEVICE_RESET => Location: PIN_AF15, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// DEVICE_RESET_V => Location: PIN_AE25, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// MCU_D[0] => Location: PIN_AC1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// MCU_D[1] => Location: PIN_AC3, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// MCU_D[2] => Location: PIN_AD3, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// MCU_D[3] => Location: PIN_V3, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// MCU_D[4] => Location: PIN_V2, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// MCU_D[5] => Location: PIN_V1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// MCU_D[6] => Location: PIN_Y3, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// MCU_D[7] => Location: PIN_AC2, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[0] => Location: PIN_U5, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[1] => Location: PIN_AB1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[2] => Location: PIN_U2, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[3] => Location: PIN_T3, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[4] => Location: PIN_R5, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[5] => Location: PIN_T4, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[6] => Location: PIN_Y4, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[7] => Location: PIN_AB2, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[8] => Location: PIN_U6, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[9] => Location: PIN_U1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[10] => Location: PIN_V4, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[11] => Location: PIN_R2, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[12] => Location: PIN_R1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[13] => Location: PIN_R4, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[14] => Location: PIN_W2, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[15] => Location: PIN_W1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_TE => Location: PIN_AB6, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// MCU_ADDR => Location: PIN_AB5, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// MCU_LCD_WRX => Location: PIN_Y1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// MCU_LCD_RDX => Location: PIN_Y2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// MCU_IO_STBX => Location: PIN_J1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// MCU_DIR => Location: PIN_AD2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// SW_R => Location: PIN_U8, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// SW_L => Location: PIN_U7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// SW_D => Location: PIN_T7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// SW_U => Location: PIN_R3, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// SW_SEL => Location: PIN_U3, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// SW_ROT_A => Location: PIN_U4, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// SW_ROT_B => Location: PIN_T8, I/O Standard: 3.3-V LVTTL, Current Strength: Default + +//wire gnd; +//wire gnd; +//wire vcc; +//wire vcc; +//wire \AUDIO_RESETX~output_o ; +wire \DEVICE_RESET_V~input_o ; +wire \DEVICE_RESET~input_o ; +//wire \GPS_RESETX~output_o ; +wire \GPS_TIMEPULSE~input_o ; +wire \GPS_TX_READY~input_o ; +//wire \LCD_BACKLIGHT~output_o ; +//wire \LCD_DB[0]~output_o ; +wire \LCD_DB[0]~input_o ; +//wire \LCD_DB[10]~output_o ; +wire \LCD_DB[10]~input_o ; +//wire \LCD_DB[11]~output_o ; +wire \LCD_DB[11]~input_o ; +//wire \LCD_DB[12]~output_o ; +wire \LCD_DB[12]~input_o ; +//wire \LCD_DB[13]~output_o ; +wire \LCD_DB[13]~input_o ; +//wire \LCD_DB[14]~output_o ; +wire \LCD_DB[14]~input_o ; +//wire \LCD_DB[15]~output_o ; +wire \LCD_DB[15]~input_o ; +//wire \LCD_DB[1]~output_o ; +wire \LCD_DB[1]~input_o ; +//wire \LCD_DB[2]~output_o ; +wire \LCD_DB[2]~input_o ; +//wire \LCD_DB[3]~output_o ; +wire \LCD_DB[3]~input_o ; +//wire \LCD_DB[4]~output_o ; +wire \LCD_DB[4]~input_o ; +//wire \LCD_DB[5]~output_o ; +wire \LCD_DB[5]~input_o ; +//wire \LCD_DB[6]~output_o ; +wire \LCD_DB[6]~input_o ; +//wire \LCD_DB[7]~output_o ; +wire \LCD_DB[7]~input_o ; +//wire \LCD_DB[8]~output_o ; +wire \LCD_DB[8]~input_o ; +//wire \LCD_DB[9]~output_o ; +wire \LCD_DB[9]~input_o ; +//wire \LCD_RDX~output_o ; +//wire \LCD_RESETX~output_o ; +//wire \LCD_RS~output_o ; +wire \LCD_TE~input_o ; +//wire \LCD_WRX~output_o ; +wire \MCU_ADDR~input_o ; +wire \MCU_DIR~input_o ; +//wire \MCU_D[0]~output_o ; +wire \MCU_D[0]~input_o ; +//wire \MCU_D[1]~output_o ; +wire \MCU_D[1]~input_o ; +//wire \MCU_D[2]~output_o ; +wire \MCU_D[2]~input_o ; +//wire \MCU_D[3]~output_o ; +wire \MCU_D[3]~input_o ; +//wire \MCU_D[4]~output_o ; +wire \MCU_D[4]~input_o ; +//wire \MCU_D[5]~output_o ; +wire \MCU_D[5]~input_o ; +//wire \MCU_D[6]~output_o ; +wire \MCU_D[6]~input_o ; +//wire \MCU_D[7]~output_o ; +wire \MCU_D[7]~input_o ; +wire \MCU_IO_STBX~input_o ; +wire \MCU_IO_STBX~inputclkctrl_outclk ; +wire \MCU_LCD_RDX~input_o ; +wire \MCU_LCD_RDX~inputclkctrl_outclk ; +//wire \MCU_LCD_TE~output_o ; +wire \MCU_LCD_WRX~input_o ; +wire \MCU_LCD_WRX~inputclkctrl_outclk ; +wire \MCU_P2_8~input_o ; +//wire \REF_EN~output_o ; +wire \SW_D~input_o ; +wire \SW_L~input_o ; +wire \SW_ROT_A~input_o ; +wire \SW_ROT_B~input_o ; +wire \SW_R~input_o ; +wire \SW_SEL~input_o ; +wire \SW_U~input_o ; +//wire \SYSOFF~output_o ; +//wire \TP_D~output_o ; +//wire \TP_L~output_o ; +//wire \TP_R~output_o ; +//wire \TP_U~output_o ; +wire \audio_reset_q~0_combout ; +wire \audio_reset_q~q ; +//wire devclrn; +tri1 devclrn; +//wire devoe; +tri1 devoe; +//wire devpor; +tri1 devpor; +wire \lcd_backlight_q~feeder_combout ; +wire \lcd_backlight_q~q ; +wire [7:0] lcd_data_in_q; +//wire lcd_data_in_q[0]; +//wire lcd_data_in_q[1]; +//wire lcd_data_in_q[2]; +//wire lcd_data_in_q[3]; +//wire lcd_data_in_q[4]; +//wire lcd_data_in_q[5]; +//wire lcd_data_in_q[6]; +//wire lcd_data_in_q[7]; +wire [7:0] lcd_data_out_q; +//wire lcd_data_out_q[0]; +wire \lcd_data_out_q[0]~feeder_combout ; +//wire lcd_data_out_q[1]; +wire \lcd_data_out_q[1]~feeder_combout ; +//wire lcd_data_out_q[2]; +wire \lcd_data_out_q[2]~feeder_combout ; +//wire lcd_data_out_q[3]; +//wire lcd_data_out_q[4]; +wire \lcd_data_out_q[4]~feeder_combout ; +//wire lcd_data_out_q[5]; +//wire lcd_data_out_q[6]; +//wire lcd_data_out_q[7]; +wire \lcd_data_out_q[7]~feeder_combout ; +wire \lcd_reset_q~0_combout ; +wire \lcd_reset_q~1_combout ; +wire \lcd_reset_q~q ; +wire \mcu_data_out[0]~0_combout ; +wire \mcu_data_out[0]~1_combout ; +wire \mcu_data_out[1]~2_combout ; +wire \mcu_data_out[1]~3_combout ; +wire \mcu_data_out[2]~4_combout ; +wire \mcu_data_out[2]~5_combout ; +wire \mcu_data_out[3]~6_combout ; +wire \mcu_data_out[3]~7_combout ; +wire \mcu_data_out[4]~8_combout ; +wire \mcu_data_out[4]~9_combout ; +wire \mcu_data_out[5]~10_combout ; +wire \mcu_data_out[5]~11_combout ; +wire \mcu_data_out[6]~12_combout ; +wire \mcu_data_out[6]~13_combout ; +wire \mcu_data_out[7]~14_combout ; +wire \mcu_data_out[7]~15_combout ; +wire \ref_en_q~feeder_combout ; +wire \ref_en_q~q ; +wire \sysoff_q~feeder_combout ; +wire \sysoff_q~q ; +wire [7:0] tp_q; +//wire tp_q[0]; +//wire tp_q[1]; +//wire tp_q[2]; +wire \tp_q[2]~feeder_combout ; +//wire tp_q[3]; +wire \tp_q[3]~0_combout ; +//wire tp_q[4]; +wire \tp_q[4]~feeder_combout ; +//wire tp_q[5]; +//wire tp_q[6]; +wire \tp_q[6]~feeder_combout ; +//wire tp_q[7]; +wire \tp_q[7]~feeder_combout ; +wire unknown; +wire unknown; +wire \~ALTERA_ASDO_DATA1~~ibuf_o ; +wire \~ALTERA_ASDO_DATA1~~padout ; +wire \~ALTERA_DATA0~~ibuf_o ; +wire \~ALTERA_DATA0~~padout ; +wire \~ALTERA_FLASH_nCE_nCSO~~ibuf_o ; +wire \~ALTERA_FLASH_nCE_nCSO~~padout ; + +wire vcc; +wire gnd; +assign vcc = 1'b1; +assign gnd = 1'b0; + +// Location: IOIBUF_X0_Y10_N0 +// alta_io_ibuf \SW_L~input ( +alta_io \SW_L~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\SW_L~input_o ), + .regout(), + .padio(SW_L)); +defparam \SW_L~input .CFG_KEEP = 2'b00; +// defparam \SW_L~input .simulate_z_as = "z"; + +defparam \SW_L~input .coord_x = 0; +defparam \SW_L~input .coord_y = 10; +defparam \SW_L~input .coord_z = 0; +// Location: IOIBUF_X0_Y10_N1 +// alta_io_ibuf \SW_R~input ( +alta_io \SW_R~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\SW_R~input_o ), + .regout(), + .padio(SW_R)); +defparam \SW_R~input .CFG_KEEP = 2'b00; +// defparam \SW_R~input .simulate_z_as = "z"; + +defparam \SW_R~input .coord_x = 0; +defparam \SW_R~input .coord_y = 10; +defparam \SW_R~input .coord_z = 1; +// Location: IOOBUF_X0_Y11_N0 +// alta_io_obuf \TP_U~output ( +alta_io \TP_U~output ( + .datain(tp_q[3]), + .oe(tp_q[7]), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(TP_U)); +defparam \TP_U~output .CFG_KEEP = 2'b00; +// defparam \TP_U~output .open_drain_output = "false"; + +defparam \TP_U~output .coord_x = 0; +defparam \TP_U~output .coord_y = 11; +defparam \TP_U~output .coord_z = 0; +// Location: IOOBUF_X0_Y11_N1 +// alta_io_obuf \TP_L~output ( +alta_io \TP_L~output ( + .datain(tp_q[1]), + .oe(tp_q[5]), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(TP_L)); +defparam \TP_L~output .CFG_KEEP = 2'b00; +// defparam \TP_L~output .open_drain_output = "false"; + +defparam \TP_L~output .coord_x = 0; +defparam \TP_L~output .coord_y = 11; +defparam \TP_L~output .coord_z = 1; +// Location: IOIBUF_X0_Y14_N1 +// alta_io_ibuf \SW_ROT_B~input ( +alta_io \SW_ROT_B~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\SW_ROT_B~input_o ), + .regout(), + .padio(SW_ROT_B)); +defparam \SW_ROT_B~input .CFG_KEEP = 2'b00; +// defparam \SW_ROT_B~input .simulate_z_as = "z"; + +defparam \SW_ROT_B~input .coord_x = 0; +defparam \SW_ROT_B~input .coord_y = 14; +defparam \SW_ROT_B~input .coord_z = 1; +// Location: IOOBUF_X0_Y15_N2 +// alta_io_obuf \TP_R~output ( +alta_io \TP_R~output ( + .datain(tp_q[0]), + .oe(tp_q[4]), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(TP_R)); +defparam \TP_R~output .CFG_KEEP = 2'b00; +// defparam \TP_R~output .open_drain_output = "false"; + +defparam \TP_R~output .coord_x = 0; +defparam \TP_R~output .coord_y = 15; +defparam \TP_R~output .coord_z = 2; +// Location: IOOBUF_X0_Y15_N3 +// alta_io_obuf \TP_D~output ( +alta_io \TP_D~output ( + .datain(tp_q[2]), + .oe(tp_q[6]), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(TP_D)); +defparam \TP_D~output .CFG_KEEP = 2'b00; +// defparam \TP_D~output .open_drain_output = "false"; + +defparam \TP_D~output .coord_x = 0; +defparam \TP_D~output .coord_y = 15; +defparam \TP_D~output .coord_z = 3; +// Location: IOIBUF_X0_Y16_N1 +// alta_io_ibuf \MCU_D[1]~input ( +// Location: IOOBUF_X0_Y16_N1 +// alta_io_obuf \MCU_D[1]~output ( +alta_io \MCU_D[1]~output ( + .datain(\mcu_data_out[1]~3_combout ), + .oe(\MCU_DIR~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_D[1]~input_o ), + .regout(), + .padio(MCU_D[1])); +defparam \MCU_D[1]~output .CFG_KEEP = 2'b00; +// defparam \MCU_D[1]~input .simulate_z_as = "z"; +// defparam \MCU_D[1]~output .open_drain_output = "false"; + +defparam \MCU_D[1]~output .coord_x = 0; +defparam \MCU_D[1]~output .coord_y = 16; +defparam \MCU_D[1]~output .coord_z = 1; +// Location: IOIBUF_X0_Y16_N2 +// alta_io_ibuf \MCU_D[2]~input ( +// Location: IOOBUF_X0_Y16_N2 +// alta_io_obuf \MCU_D[2]~output ( +alta_io \MCU_D[2]~output ( + .datain(\mcu_data_out[2]~5_combout ), + .oe(\MCU_DIR~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_D[2]~input_o ), + .regout(), + .padio(MCU_D[2])); +defparam \MCU_D[2]~output .CFG_KEEP = 2'b00; +// defparam \MCU_D[2]~input .simulate_z_as = "z"; +// defparam \MCU_D[2]~output .open_drain_output = "false"; + +defparam \MCU_D[2]~output .coord_x = 0; +defparam \MCU_D[2]~output .coord_y = 16; +defparam \MCU_D[2]~output .coord_z = 2; +// Location: IOIBUF_X0_Y16_N3 +// alta_io_ibuf \MCU_DIR~input ( +alta_io \MCU_DIR~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_DIR~input_o ), + .regout(), + .padio(MCU_DIR)); +defparam \MCU_DIR~input .CFG_KEEP = 2'b00; +// defparam \MCU_DIR~input .simulate_z_as = "z"; + +defparam \MCU_DIR~input .coord_x = 0; +defparam \MCU_DIR~input .coord_y = 16; +defparam \MCU_DIR~input .coord_z = 3; +// Location: IOIBUF_X0_Y17_N1 +// alta_io_ibuf \MCU_D[0]~input ( +// Location: IOOBUF_X0_Y17_N1 +// alta_io_obuf \MCU_D[0]~output ( +alta_io \MCU_D[0]~output ( + .datain(\mcu_data_out[0]~1_combout ), + .oe(\MCU_DIR~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_D[0]~input_o ), + .regout(), + .padio(MCU_D[0])); +defparam \MCU_D[0]~output .CFG_KEEP = 2'b00; +// defparam \MCU_D[0]~input .simulate_z_as = "z"; +// defparam \MCU_D[0]~output .open_drain_output = "false"; + +defparam \MCU_D[0]~output .coord_x = 0; +defparam \MCU_D[0]~output .coord_y = 17; +defparam \MCU_D[0]~output .coord_z = 1; +// Location: IOIBUF_X0_Y18_N2 +// alta_io_ibuf \MCU_D[6]~input ( +// Location: IOOBUF_X0_Y18_N2 +// alta_io_obuf \MCU_D[6]~output ( +alta_io \MCU_D[6]~output ( + .datain(\mcu_data_out[6]~13_combout ), + .oe(\MCU_DIR~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_D[6]~input_o ), + .regout(), + .padio(MCU_D[6])); +defparam \MCU_D[6]~output .CFG_KEEP = 2'b00; +// defparam \MCU_D[6]~input .simulate_z_as = "z"; +// defparam \MCU_D[6]~output .open_drain_output = "false"; + +defparam \MCU_D[6]~output .coord_x = 0; +defparam \MCU_D[6]~output .coord_y = 18; +defparam \MCU_D[6]~output .coord_z = 2; +// Location: IOIBUF_X0_Y18_N3 +// alta_io_ibuf \MCU_D[7]~input ( +// Location: IOOBUF_X0_Y18_N3 +// alta_io_obuf \MCU_D[7]~output ( +alta_io \MCU_D[7]~output ( + .datain(\mcu_data_out[7]~15_combout ), + .oe(\MCU_DIR~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_D[7]~input_o ), + .regout(), + .padio(MCU_D[7])); +defparam \MCU_D[7]~output .CFG_KEEP = 2'b00; +// defparam \MCU_D[7]~input .simulate_z_as = "z"; +// defparam \MCU_D[7]~output .open_drain_output = "false"; + +defparam \MCU_D[7]~output .coord_x = 0; +defparam \MCU_D[7]~output .coord_y = 18; +defparam \MCU_D[7]~output .coord_z = 3; +// Location: IOIBUF_X0_Y19_N0 +// alta_io_ibuf \LCD_DB[8]~input ( +// Location: IOOBUF_X0_Y19_N0 +// alta_io_obuf \LCD_DB[8]~output ( +alta_io \LCD_DB[8]~output ( + .datain(lcd_data_out_q[0]), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[8]~input_o ), + .regout(), + .padio(LCD_DB[8])); +defparam \LCD_DB[8]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[8]~input .simulate_z_as = "z"; +// defparam \LCD_DB[8]~output .open_drain_output = "false"; + +defparam \LCD_DB[8]~output .coord_x = 0; +defparam \LCD_DB[8]~output .coord_y = 19; +defparam \LCD_DB[8]~output .coord_z = 0; +// Location: IOIBUF_X0_Y19_N2 +// alta_io_ibuf \LCD_DB[0]~input ( +// Location: IOOBUF_X0_Y19_N2 +// alta_io_obuf \LCD_DB[0]~output ( +alta_io \LCD_DB[0]~output ( + .datain(\MCU_D[0]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[0]~input_o ), + .regout(), + .padio(LCD_DB[0])); +defparam \LCD_DB[0]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[0]~input .simulate_z_as = "z"; +// defparam \LCD_DB[0]~output .open_drain_output = "false"; + +defparam \LCD_DB[0]~output .coord_x = 0; +defparam \LCD_DB[0]~output .coord_y = 19; +defparam \LCD_DB[0]~output .coord_z = 2; +// Location: IOIBUF_X0_Y19_N3 +// alta_io_ibuf \LCD_DB[6]~input ( +// Location: IOOBUF_X0_Y19_N3 +// alta_io_obuf \LCD_DB[6]~output ( +alta_io \LCD_DB[6]~output ( + .datain(\MCU_D[6]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[6]~input_o ), + .regout(), + .padio(LCD_DB[6])); +defparam \LCD_DB[6]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[6]~input .simulate_z_as = "z"; +// defparam \LCD_DB[6]~output .open_drain_output = "false"; + +defparam \LCD_DB[6]~output .coord_x = 0; +defparam \LCD_DB[6]~output .coord_y = 19; +defparam \LCD_DB[6]~output .coord_z = 3; +// Location: IOIBUF_X0_Y20_N0 +// alta_io_ibuf \LCD_DB[14]~input ( +// Location: IOOBUF_X0_Y20_N0 +// alta_io_obuf \LCD_DB[14]~output ( +alta_io \LCD_DB[14]~output ( + .datain(lcd_data_out_q[6]), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[14]~input_o ), + .regout(), + .padio(LCD_DB[14])); +defparam \LCD_DB[14]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[14]~input .simulate_z_as = "z"; +// defparam \LCD_DB[14]~output .open_drain_output = "false"; + +defparam \LCD_DB[14]~output .coord_x = 0; +defparam \LCD_DB[14]~output .coord_y = 20; +defparam \LCD_DB[14]~output .coord_z = 0; +// Location: IOIBUF_X0_Y20_N1 +// alta_io_ibuf \LCD_DB[15]~input ( +// Location: IOOBUF_X0_Y20_N1 +// alta_io_obuf \LCD_DB[15]~output ( +alta_io \LCD_DB[15]~output ( + .datain(lcd_data_out_q[7]), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[15]~input_o ), + .regout(), + .padio(LCD_DB[15])); +defparam \LCD_DB[15]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[15]~input .simulate_z_as = "z"; +// defparam \LCD_DB[15]~output .open_drain_output = "false"; + +defparam \LCD_DB[15]~output .coord_x = 0; +defparam \LCD_DB[15]~output .coord_y = 20; +defparam \LCD_DB[15]~output .coord_z = 1; +// Location: IOIBUF_X0_Y21_N0 +// alta_io_ibuf \LCD_DB[7]~input ( +// Location: IOOBUF_X0_Y21_N0 +// alta_io_obuf \LCD_DB[7]~output ( +alta_io \LCD_DB[7]~output ( + .datain(\MCU_D[7]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[7]~input_o ), + .regout(), + .padio(LCD_DB[7])); +defparam \LCD_DB[7]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[7]~input .simulate_z_as = "z"; +// defparam \LCD_DB[7]~output .open_drain_output = "false"; + +defparam \LCD_DB[7]~output .coord_x = 0; +defparam \LCD_DB[7]~output .coord_y = 21; +defparam \LCD_DB[7]~output .coord_z = 0; +// Location: IOIBUF_X0_Y21_N1 +// alta_io_ibuf \LCD_DB[1]~input ( +// Location: IOOBUF_X0_Y21_N1 +// alta_io_obuf \LCD_DB[1]~output ( +alta_io \LCD_DB[1]~output ( + .datain(\MCU_D[1]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[1]~input_o ), + .regout(), + .padio(LCD_DB[1])); +defparam \LCD_DB[1]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[1]~input .simulate_z_as = "z"; +// defparam \LCD_DB[1]~output .open_drain_output = "false"; + +defparam \LCD_DB[1]~output .coord_x = 0; +defparam \LCD_DB[1]~output .coord_y = 21; +defparam \LCD_DB[1]~output .coord_z = 1; +// Location: IOIBUF_X0_Y22_N1 +// alta_io_ibuf \MCU_D[5]~input ( +// Location: IOOBUF_X0_Y22_N1 +// alta_io_obuf \MCU_D[5]~output ( +alta_io \MCU_D[5]~output ( + .datain(\mcu_data_out[5]~11_combout ), + .oe(\MCU_DIR~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_D[5]~input_o ), + .regout(), + .padio(MCU_D[5])); +defparam \MCU_D[5]~output .CFG_KEEP = 2'b00; +// defparam \MCU_D[5]~input .simulate_z_as = "z"; +// defparam \MCU_D[5]~output .open_drain_output = "false"; + +defparam \MCU_D[5]~output .coord_x = 0; +defparam \MCU_D[5]~output .coord_y = 22; +defparam \MCU_D[5]~output .coord_z = 1; +// Location: IOIBUF_X0_Y23_N0 +// alta_io_ibuf \MCU_D[3]~input ( +// Location: IOOBUF_X0_Y23_N0 +// alta_io_obuf \MCU_D[3]~output ( +alta_io \MCU_D[3]~output ( + .datain(\mcu_data_out[3]~7_combout ), + .oe(\MCU_DIR~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_D[3]~input_o ), + .regout(), + .padio(MCU_D[3])); +defparam \MCU_D[3]~output .CFG_KEEP = 2'b00; +// defparam \MCU_D[3]~input .simulate_z_as = "z"; +// defparam \MCU_D[3]~output .open_drain_output = "false"; + +defparam \MCU_D[3]~output .coord_x = 0; +defparam \MCU_D[3]~output .coord_y = 23; +defparam \MCU_D[3]~output .coord_z = 0; +// Location: IOIBUF_X0_Y23_N1 +// alta_io_ibuf \MCU_D[4]~input ( +// Location: IOOBUF_X0_Y23_N1 +// alta_io_obuf \MCU_D[4]~output ( +alta_io \MCU_D[4]~output ( + .datain(\mcu_data_out[4]~9_combout ), + .oe(\MCU_DIR~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_D[4]~input_o ), + .regout(), + .padio(MCU_D[4])); +defparam \MCU_D[4]~output .CFG_KEEP = 2'b00; +// defparam \MCU_D[4]~input .simulate_z_as = "z"; +// defparam \MCU_D[4]~output .open_drain_output = "false"; + +defparam \MCU_D[4]~output .coord_x = 0; +defparam \MCU_D[4]~output .coord_y = 23; +defparam \MCU_D[4]~output .coord_z = 1; +// Location: IOIBUF_X0_Y24_N0 +// alta_io_ibuf \LCD_DB[2]~input ( +// Location: IOOBUF_X0_Y24_N0 +// alta_io_obuf \LCD_DB[2]~output ( +alta_io \LCD_DB[2]~output ( + .datain(\MCU_D[2]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[2]~input_o ), + .regout(), + .padio(LCD_DB[2])); +defparam \LCD_DB[2]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[2]~input .simulate_z_as = "z"; +// defparam \LCD_DB[2]~output .open_drain_output = "false"; + +defparam \LCD_DB[2]~output .coord_x = 0; +defparam \LCD_DB[2]~output .coord_y = 24; +defparam \LCD_DB[2]~output .coord_z = 0; +// Location: IOIBUF_X0_Y24_N1 +// alta_io_ibuf \LCD_DB[9]~input ( +// Location: IOOBUF_X0_Y24_N1 +// alta_io_obuf \LCD_DB[9]~output ( +alta_io \LCD_DB[9]~output ( + .datain(lcd_data_out_q[1]), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[9]~input_o ), + .regout(), + .padio(LCD_DB[9])); +defparam \LCD_DB[9]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[9]~input .simulate_z_as = "z"; +// defparam \LCD_DB[9]~output .open_drain_output = "false"; + +defparam \LCD_DB[9]~output .coord_x = 0; +defparam \LCD_DB[9]~output .coord_y = 24; +defparam \LCD_DB[9]~output .coord_z = 1; +// Location: IOIBUF_X0_Y24_N3 +// alta_io_ibuf \LCD_DB[10]~input ( +// Location: IOOBUF_X0_Y24_N3 +// alta_io_obuf \LCD_DB[10]~output ( +alta_io \LCD_DB[10]~output ( + .datain(lcd_data_out_q[2]), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[10]~input_o ), + .regout(), + .padio(LCD_DB[10])); +defparam \LCD_DB[10]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[10]~input .simulate_z_as = "z"; +// defparam \LCD_DB[10]~output .open_drain_output = "false"; + +defparam \LCD_DB[10]~output .coord_x = 0; +defparam \LCD_DB[10]~output .coord_y = 24; +defparam \LCD_DB[10]~output .coord_z = 3; +// Location: IOIBUF_X0_Y25_N2 +// alta_io_ibuf \SW_D~input ( +alta_io \SW_D~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\SW_D~input_o ), + .regout(), + .padio(SW_D)); +defparam \SW_D~input .CFG_KEEP = 2'b00; +// defparam \SW_D~input .simulate_z_as = "z"; + +defparam \SW_D~input .coord_x = 0; +defparam \SW_D~input .coord_y = 25; +defparam \SW_D~input .coord_z = 2; +// Location: IOIBUF_X0_Y26_N0 +// alta_io_ibuf \LCD_DB[13]~input ( +// Location: IOOBUF_X0_Y26_N0 +// alta_io_obuf \LCD_DB[13]~output ( +alta_io \LCD_DB[13]~output ( + .datain(lcd_data_out_q[5]), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[13]~input_o ), + .regout(), + .padio(LCD_DB[13])); +defparam \LCD_DB[13]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[13]~input .simulate_z_as = "z"; +// defparam \LCD_DB[13]~output .open_drain_output = "false"; + +defparam \LCD_DB[13]~output .coord_x = 0; +defparam \LCD_DB[13]~output .coord_y = 26; +defparam \LCD_DB[13]~output .coord_z = 0; +// Location: IOIBUF_X0_Y26_N1 +// alta_io_ibuf \LCD_DB[5]~input ( +// Location: IOOBUF_X0_Y26_N1 +// alta_io_obuf \LCD_DB[5]~output ( +alta_io \LCD_DB[5]~output ( + .datain(\MCU_D[5]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[5]~input_o ), + .regout(), + .padio(LCD_DB[5])); +defparam \LCD_DB[5]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[5]~input .simulate_z_as = "z"; +// defparam \LCD_DB[5]~output .open_drain_output = "false"; + +defparam \LCD_DB[5]~output .coord_x = 0; +defparam \LCD_DB[5]~output .coord_y = 26; +defparam \LCD_DB[5]~output .coord_z = 1; +// Location: IOIBUF_X0_Y26_N2 +// alta_io_ibuf \LCD_DB[3]~input ( +// Location: IOOBUF_X0_Y26_N2 +// alta_io_obuf \LCD_DB[3]~output ( +alta_io \LCD_DB[3]~output ( + .datain(\MCU_D[3]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[3]~input_o ), + .regout(), + .padio(LCD_DB[3])); +defparam \LCD_DB[3]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[3]~input .simulate_z_as = "z"; +// defparam \LCD_DB[3]~output .open_drain_output = "false"; + +defparam \LCD_DB[3]~output .coord_x = 0; +defparam \LCD_DB[3]~output .coord_y = 26; +defparam \LCD_DB[3]~output .coord_z = 2; +// Location: IOIBUF_X0_Y26_N3 +// alta_io_ibuf \LCD_DB[4]~input ( +// Location: IOOBUF_X0_Y26_N3 +// alta_io_obuf \LCD_DB[4]~output ( +alta_io \LCD_DB[4]~output ( + .datain(\MCU_D[4]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[4]~input_o ), + .regout(), + .padio(LCD_DB[4])); +defparam \LCD_DB[4]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[4]~input .simulate_z_as = "z"; +// defparam \LCD_DB[4]~output .open_drain_output = "false"; + +defparam \LCD_DB[4]~output .coord_x = 0; +defparam \LCD_DB[4]~output .coord_y = 26; +defparam \LCD_DB[4]~output .coord_z = 3; +// Location: IOIBUF_X0_Y28_N1 +// alta_io_ibuf \SW_ROT_A~input ( +alta_io \SW_ROT_A~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\SW_ROT_A~input_o ), + .regout(), + .padio(SW_ROT_A)); +defparam \SW_ROT_A~input .CFG_KEEP = 2'b00; +// defparam \SW_ROT_A~input .simulate_z_as = "z"; + +defparam \SW_ROT_A~input .coord_x = 0; +defparam \SW_ROT_A~input .coord_y = 28; +defparam \SW_ROT_A~input .coord_z = 1; +// Location: IOIBUF_X0_Y28_N2 +// alta_io_ibuf \SW_U~input ( +alta_io \SW_U~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\SW_U~input_o ), + .regout(), + .padio(SW_U)); +defparam \SW_U~input .CFG_KEEP = 2'b00; +// defparam \SW_U~input .simulate_z_as = "z"; + +defparam \SW_U~input .coord_x = 0; +defparam \SW_U~input .coord_y = 28; +defparam \SW_U~input .coord_z = 2; +// Location: IOIBUF_X0_Y29_N0 +// alta_io_ibuf \LCD_DB[11]~input ( +// Location: IOOBUF_X0_Y29_N0 +// alta_io_obuf \LCD_DB[11]~output ( +alta_io \LCD_DB[11]~output ( + .datain(lcd_data_out_q[3]), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[11]~input_o ), + .regout(), + .padio(LCD_DB[11])); +defparam \LCD_DB[11]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[11]~input .simulate_z_as = "z"; +// defparam \LCD_DB[11]~output .open_drain_output = "false"; + +defparam \LCD_DB[11]~output .coord_x = 0; +defparam \LCD_DB[11]~output .coord_y = 29; +defparam \LCD_DB[11]~output .coord_z = 0; +// Location: IOIBUF_X0_Y29_N1 +// alta_io_ibuf \LCD_DB[12]~input ( +// Location: IOOBUF_X0_Y29_N1 +// alta_io_obuf \LCD_DB[12]~output ( +alta_io \LCD_DB[12]~output ( + .datain(lcd_data_out_q[4]), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[12]~input_o ), + .regout(), + .padio(LCD_DB[12])); +defparam \LCD_DB[12]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[12]~input .simulate_z_as = "z"; +// defparam \LCD_DB[12]~output .open_drain_output = "false"; + +defparam \LCD_DB[12]~output .coord_x = 0; +defparam \LCD_DB[12]~output .coord_y = 29; +defparam \LCD_DB[12]~output .coord_z = 1; +// Location: IOOBUF_X0_Y29_N2 +// alta_io_obuf \LCD_RDX~output ( +alta_io \LCD_RDX~output ( + .datain(\MCU_LCD_RDX~input_o ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(LCD_RDX)); +defparam \LCD_RDX~output .CFG_KEEP = 2'b00; +// defparam \LCD_RDX~output .open_drain_output = "false"; + +defparam \LCD_RDX~output .coord_x = 0; +defparam \LCD_RDX~output .coord_y = 29; +defparam \LCD_RDX~output .coord_z = 2; +// Location: IOIBUF_X0_Y29_N3 +// alta_io_ibuf \SW_SEL~input ( +alta_io \SW_SEL~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\SW_SEL~input_o ), + .regout(), + .padio(SW_SEL)); +defparam \SW_SEL~input .CFG_KEEP = 2'b00; +// defparam \SW_SEL~input .simulate_z_as = "z"; + +defparam \SW_SEL~input .coord_x = 0; +defparam \SW_SEL~input .coord_y = 29; +defparam \SW_SEL~input .coord_z = 3; +// Location: IOIBUF_X0_Y30_N1 +// alta_io_ibuf \MCU_IO_STBX~input ( +alta_io \MCU_IO_STBX~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_IO_STBX~input_o ), + .regout(), + .padio(MCU_IO_STBX)); +defparam \MCU_IO_STBX~input .CFG_KEEP = 2'b00; +// defparam \MCU_IO_STBX~input .simulate_z_as = "z"; + +defparam \MCU_IO_STBX~input .coord_x = 0; +defparam \MCU_IO_STBX~input .coord_y = 30; +defparam \MCU_IO_STBX~input .coord_z = 1; +// Location: IOIBUF_X0_Y30_N2 +// alta_io_ibuf \MCU_LCD_RDX~input ( +alta_io \MCU_LCD_RDX~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_LCD_RDX~input_o ), + .regout(), + .padio(MCU_LCD_RDX)); +defparam \MCU_LCD_RDX~input .CFG_KEEP = 2'b00; +// defparam \MCU_LCD_RDX~input .simulate_z_as = "z"; + +defparam \MCU_LCD_RDX~input .coord_x = 0; +defparam \MCU_LCD_RDX~input .coord_y = 30; +defparam \MCU_LCD_RDX~input .coord_z = 2; +// Location: IOIBUF_X0_Y30_N3 +// alta_io_ibuf \MCU_LCD_WRX~input ( +alta_io \MCU_LCD_WRX~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_LCD_WRX~input_o ), + .regout(), + .padio(MCU_LCD_WRX)); +defparam \MCU_LCD_WRX~input .CFG_KEEP = 2'b00; +// defparam \MCU_LCD_WRX~input .simulate_z_as = "z"; + +defparam \MCU_LCD_WRX~input .coord_x = 0; +defparam \MCU_LCD_WRX~input .coord_y = 30; +defparam \MCU_LCD_WRX~input .coord_z = 3; +// Location: IOOBUF_X0_Y37_N0 +// alta_io_obuf \LCD_WRX~output ( +alta_io \LCD_WRX~output ( + .datain(\MCU_LCD_WRX~input_o ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(LCD_WRX)); +defparam \LCD_WRX~output .CFG_KEEP = 2'b00; +// defparam \LCD_WRX~output .open_drain_output = "false"; + +defparam \LCD_WRX~output .coord_x = 0; +defparam \LCD_WRX~output .coord_y = 37; +defparam \LCD_WRX~output .coord_z = 0; +// Location: IOOBUF_X0_Y4_N2 +// alta_io_obuf \LCD_RS~output ( +alta_io \LCD_RS~output ( + .datain(\MCU_ADDR~input_o ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(LCD_RS)); +defparam \LCD_RS~output .CFG_KEEP = 2'b00; +// defparam \LCD_RS~output .open_drain_output = "false"; + +defparam \LCD_RS~output .coord_x = 0; +defparam \LCD_RS~output .coord_y = 4; +defparam \LCD_RS~output .coord_z = 2; +// Location: IOIBUF_X0_Y4_N3 +// alta_io_ibuf \MCU_ADDR~input ( +alta_io \MCU_ADDR~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_ADDR~input_o ), + .regout(), + .padio(MCU_ADDR)); +defparam \MCU_ADDR~input .CFG_KEEP = 2'b00; +// defparam \MCU_ADDR~input .simulate_z_as = "z"; + +defparam \MCU_ADDR~input .coord_x = 0; +defparam \MCU_ADDR~input .coord_y = 4; +defparam \MCU_ADDR~input .coord_z = 3; +// Location: IOOBUF_X0_Y6_N2 +// alta_io_obuf \MCU_LCD_TE~output ( +alta_io \MCU_LCD_TE~output ( + .datain(\LCD_TE~input_o ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(MCU_LCD_TE)); +defparam \MCU_LCD_TE~output .CFG_KEEP = 2'b00; +// defparam \MCU_LCD_TE~output .open_drain_output = "false"; + +defparam \MCU_LCD_TE~output .coord_x = 0; +defparam \MCU_LCD_TE~output .coord_y = 6; +defparam \MCU_LCD_TE~output .coord_z = 2; +// Location: IOIBUF_X0_Y6_N3 +// alta_io_ibuf \LCD_TE~input ( +alta_io \LCD_TE~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_TE~input_o ), + .regout(), + .padio(LCD_TE)); +defparam \LCD_TE~input .CFG_KEEP = 2'b00; +// defparam \LCD_TE~input .simulate_z_as = "z"; + +defparam \LCD_TE~input .coord_x = 0; +defparam \LCD_TE~input .coord_y = 6; +defparam \LCD_TE~input .coord_z = 3; +// Location: IOOBUF_X0_Y7_N0 +// alta_io_obuf \LCD_RESETX~output ( +alta_io \LCD_RESETX~output ( + .datain(\lcd_reset_q~q ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(LCD_RESETX)); +defparam \LCD_RESETX~output .CFG_KEEP = 2'b00; +// defparam \LCD_RESETX~output .open_drain_output = "false"; + +defparam \LCD_RESETX~output .coord_x = 0; +defparam \LCD_RESETX~output .coord_y = 7; +defparam \LCD_RESETX~output .coord_z = 0; +// Location: IOOBUF_X0_Y7_N1 +// alta_io_obuf \REF_EN~output ( +alta_io \REF_EN~output ( + .datain(\ref_en_q~q ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(REF_EN)); +defparam \REF_EN~output .CFG_KEEP = 2'b00; +// defparam \REF_EN~output .open_drain_output = "false"; + +defparam \REF_EN~output .coord_x = 0; +defparam \REF_EN~output .coord_y = 7; +defparam \REF_EN~output .coord_z = 1; +// Location: IOOBUF_X0_Y8_N3 +// alta_io_obuf \LCD_BACKLIGHT~output ( +alta_io \LCD_BACKLIGHT~output ( + .datain(\lcd_backlight_q~q ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(LCD_BACKLIGHT)); +defparam \LCD_BACKLIGHT~output .CFG_KEEP = 2'b00; +// defparam \LCD_BACKLIGHT~output .open_drain_output = "false"; + +defparam \LCD_BACKLIGHT~output .coord_x = 0; +defparam \LCD_BACKLIGHT~output .coord_y = 8; +defparam \LCD_BACKLIGHT~output .coord_z = 3; +// Location: IOOBUF_X0_Y9_N1 +// alta_io_obuf \SYSOFF~output ( +alta_io \SYSOFF~output ( + .datain(\sysoff_q~q ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(SYSOFF)); +defparam \SYSOFF~output .CFG_KEEP = 2'b00; +// defparam \SYSOFF~output .open_drain_output = "false"; + +defparam \SYSOFF~output .coord_x = 0; +defparam \SYSOFF~output .coord_y = 9; +defparam \SYSOFF~output .coord_z = 1; +// Location: IOOBUF_X0_Y9_N2 +// alta_io_obuf \AUDIO_RESETX~output ( +alta_io \AUDIO_RESETX~output ( + .datain(\audio_reset_q~q ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(AUDIO_RESETX)); +defparam \AUDIO_RESETX~output .CFG_KEEP = 2'b00; +// defparam \AUDIO_RESETX~output .open_drain_output = "false"; + +defparam \AUDIO_RESETX~output .coord_x = 0; +defparam \AUDIO_RESETX~output .coord_y = 9; +defparam \AUDIO_RESETX~output .coord_z = 2; +// Location: IOIBUF_X18_Y62_N2 +// alta_io_ibuf \MCU_P2_8~input ( +alta_io \MCU_P2_8~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_P2_8~input_o ), + .regout(), + .padio(MCU_P2_8)); +defparam \MCU_P2_8~input .CFG_KEEP = 2'b00; +// defparam \MCU_P2_8~input .simulate_z_as = "z"; + +defparam \MCU_P2_8~input .coord_x = 18; +defparam \MCU_P2_8~input .coord_y = 62; +defparam \MCU_P2_8~input .coord_z = 2; +// Location: IOIBUF_X23_Y62_N3 +// alta_io_ibuf \GPS_TX_READY~input ( +alta_io \GPS_TX_READY~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\GPS_TX_READY~input_o ), + .regout(), + .padio(GPS_TX_READY)); +defparam \GPS_TX_READY~input .CFG_KEEP = 2'b00; +// defparam \GPS_TX_READY~input .simulate_z_as = "z"; + +defparam \GPS_TX_READY~input .coord_x = 23; +defparam \GPS_TX_READY~input .coord_y = 62; +defparam \GPS_TX_READY~input .coord_z = 3; +// Location: IOIBUF_X51_Y0_N0 +// alta_io_ibuf \DEVICE_RESET~input ( +alta_io \DEVICE_RESET~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\DEVICE_RESET~input_o ), + .regout(), + .padio(DEVICE_RESET)); +defparam \DEVICE_RESET~input .CFG_KEEP = 2'b00; +// defparam \DEVICE_RESET~input .simulate_z_as = "z"; + +defparam \DEVICE_RESET~input .coord_x = 51; +defparam \DEVICE_RESET~input .coord_y = 0; +defparam \DEVICE_RESET~input .coord_z = 0; +// Location: IOIBUF_X56_Y62_N0 +// alta_io_ibuf \GPS_TIMEPULSE~input ( +alta_io \GPS_TIMEPULSE~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\GPS_TIMEPULSE~input_o ), + .regout(), + .padio(GPS_TIMEPULSE)); +defparam \GPS_TIMEPULSE~input .CFG_KEEP = 2'b00; +// defparam \GPS_TIMEPULSE~input .simulate_z_as = "z"; + +defparam \GPS_TIMEPULSE~input .coord_x = 56; +defparam \GPS_TIMEPULSE~input .coord_y = 62; +defparam \GPS_TIMEPULSE~input .coord_z = 0; +// Location: IOIBUF_X78_Y0_N1 +// alta_io_ibuf \DEVICE_RESET_V~input ( +alta_io \DEVICE_RESET_V~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\DEVICE_RESET_V~input_o ), + .regout(), + .padio(DEVICE_RESET_V)); +defparam \DEVICE_RESET_V~input .CFG_KEEP = 2'b00; +// defparam \DEVICE_RESET_V~input .simulate_z_as = "z"; + +defparam \DEVICE_RESET_V~input .coord_x = 78; +defparam \DEVICE_RESET_V~input .coord_y = 0; +defparam \DEVICE_RESET_V~input .coord_z = 1; +// Location: IOOBUF_X94_Y9_N2 +// alta_io_obuf \GPS_RESETX~output ( +alta_io \GPS_RESETX~output ( + .datain(vcc), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(GPS_RESETX)); +defparam \GPS_RESETX~output .CFG_KEEP = 2'b00; +// defparam \GPS_RESETX~output .open_drain_output = "false"; + +defparam \GPS_RESETX~output .coord_x = 94; +defparam \GPS_RESETX~output .coord_y = 9; +defparam \GPS_RESETX~output .coord_z = 2; +// Location: CLKCTRL_G2 +alta_io_gclk \MCU_IO_STBX~inputclkctrl ( + .inclk (\MCU_IO_STBX~input_o ), + .outclk(\MCU_IO_STBX~inputclkctrl_outclk )); +//defparam \MCU_IO_STBX~inputclkctrl .clock_type = "global clock"; +//defparam \MCU_IO_STBX~inputclkctrl .ena_register_mode = "none"; + +// Location: CLKCTRL_G3 +alta_io_gclk \MCU_LCD_WRX~inputclkctrl ( + .inclk (\MCU_LCD_WRX~input_o ), + .outclk(\MCU_LCD_WRX~inputclkctrl_outclk )); +//defparam \MCU_LCD_WRX~inputclkctrl .clock_type = "global clock"; +//defparam \MCU_LCD_WRX~inputclkctrl .ena_register_mode = "none"; + +// Location: CLKCTRL_G4 +alta_io_gclk \MCU_LCD_RDX~inputclkctrl ( + .inclk (\MCU_LCD_RDX~input_o ), + .outclk(\MCU_LCD_RDX~inputclkctrl_outclk )); +//defparam \MCU_LCD_RDX~inputclkctrl .clock_type = "global clock"; +//defparam \MCU_LCD_RDX~inputclkctrl .ena_register_mode = "none"; + +// Location: LCCOMB_X1_Y15_N10 +// alta_lcell_comb \lcd_reset_q~0 ( +alta_slice \lcd_reset_q~0 ( + .A(vcc), + .B(vcc), + .C(\MCU_ADDR~input_o ), + .D(\MCU_DIR~input_o ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\lcd_reset_q~0_combout ), + .Cout(), + .Q()); +defparam \lcd_reset_q~0 .mask = 16'h00F0; +defparam \lcd_reset_q~0 .mode = "logic"; +defparam \lcd_reset_q~0 .modeMux = 1'b0; +defparam \lcd_reset_q~0 .FeedbackMux = 1'b0; +defparam \lcd_reset_q~0 .ShiftMux = 1'b0; +defparam \lcd_reset_q~0 .BypassEn = 1'b0; +defparam \lcd_reset_q~0 .CarryEnb = 1'b1; +defparam \lcd_reset_q~0 .AsyncResetMux = 2'bxx; +defparam \lcd_reset_q~0 .SyncResetMux = 2'bxx; +defparam \lcd_reset_q~0 .SyncLoadMux = 2'bxx; +defparam \lcd_reset_q~0 .coord_x = 1; +defparam \lcd_reset_q~0 .coord_y = 15; +defparam \lcd_reset_q~0 .coord_z = 5; +// Location: FF_X1_Y15_N12 +// alta_lcell_ff \tp_q[3] ( +alta_slice \tp_q[3] ( + .A(), + .B(), + .C(\MCU_D[3]~input_o ), + .D(), + .Cin(), + .Qin(tp_q[3]), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(SyncReset_X1_Y15_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y15_VCC), + .LutOut(), + .Cout(), + .Q(tp_q[3])); +defparam \tp_q[3] .mask = 16'hFFFF; +defparam \tp_q[3] .mode = "ripple"; +defparam \tp_q[3] .modeMux = 1'b1; +defparam \tp_q[3] .FeedbackMux = 1'b0; +defparam \tp_q[3] .ShiftMux = 1'b0; +defparam \tp_q[3] .BypassEn = 1'b1; +defparam \tp_q[3] .CarryEnb = 1'b1; +defparam \tp_q[3] .AsyncResetMux = 2'b00; +defparam \tp_q[3] .SyncResetMux = 2'b00; +defparam \tp_q[3] .SyncLoadMux = 2'b01; +defparam \tp_q[3] .coord_x = 1; +defparam \tp_q[3] .coord_y = 15; +defparam \tp_q[3] .coord_z = 6; +// Location: FF_X1_Y15_N14 +// alta_lcell_ff \tp_q[4] ( +// Location: LCCOMB_X1_Y15_N14 +// alta_lcell_comb \tp_q[4]~feeder ( +alta_slice \tp_q[4] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[4]~input_o ), + .Cin(), + .Qin(tp_q[4]), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\tp_q[4]~feeder_combout ), + .Cout(), + .Q(tp_q[4])); +defparam \tp_q[4] .mask = 16'hFF00; +defparam \tp_q[4] .mode = "logic"; +defparam \tp_q[4] .modeMux = 1'b0; +defparam \tp_q[4] .FeedbackMux = 1'b0; +defparam \tp_q[4] .ShiftMux = 1'b0; +defparam \tp_q[4] .BypassEn = 1'b0; +defparam \tp_q[4] .CarryEnb = 1'b1; +defparam \tp_q[4] .AsyncResetMux = 2'b00; +defparam \tp_q[4] .SyncResetMux = 2'bxx; +defparam \tp_q[4] .SyncLoadMux = 2'bxx; +defparam \tp_q[4] .coord_x = 1; +defparam \tp_q[4] .coord_y = 15; +defparam \tp_q[4] .coord_z = 7; +// Location: FF_X1_Y15_N16 +// alta_lcell_ff lcd_backlight_q( +// Location: LCCOMB_X1_Y15_N16 +// alta_lcell_comb \lcd_backlight_q~feeder ( +alta_slice lcd_backlight_q( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[7]~input_o ), + .Cin(), + .Qin(\lcd_backlight_q~q ), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__lcd_reset_q~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\lcd_backlight_q~feeder_combout ), + .Cout(), + .Q(\lcd_backlight_q~q )); +defparam lcd_backlight_q.mask = 16'hFF00; +defparam lcd_backlight_q.mode = "logic"; +defparam lcd_backlight_q.modeMux = 1'b0; +defparam lcd_backlight_q.FeedbackMux = 1'b0; +defparam lcd_backlight_q.ShiftMux = 1'b0; +defparam lcd_backlight_q.BypassEn = 1'b0; +defparam lcd_backlight_q.CarryEnb = 1'b1; +defparam lcd_backlight_q.AsyncResetMux = 2'b00; +defparam lcd_backlight_q.SyncResetMux = 2'bxx; +defparam lcd_backlight_q.SyncLoadMux = 2'bxx; +defparam lcd_backlight_q.coord_x = 1; +defparam lcd_backlight_q.coord_y = 15; +defparam lcd_backlight_q.coord_z = 8; +// Location: FF_X1_Y15_N18 +// alta_lcell_ff \tp_q[0] ( +alta_slice \tp_q[0] ( + .A(), + .B(), + .C(\MCU_D[0]~input_o ), + .D(), + .Cin(), + .Qin(tp_q[0]), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(SyncReset_X1_Y15_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y15_VCC), + .LutOut(), + .Cout(), + .Q(tp_q[0])); +defparam \tp_q[0] .mask = 16'hFFFF; +defparam \tp_q[0] .mode = "ripple"; +defparam \tp_q[0] .modeMux = 1'b1; +defparam \tp_q[0] .FeedbackMux = 1'b0; +defparam \tp_q[0] .ShiftMux = 1'b0; +defparam \tp_q[0] .BypassEn = 1'b1; +defparam \tp_q[0] .CarryEnb = 1'b1; +defparam \tp_q[0] .AsyncResetMux = 2'b00; +defparam \tp_q[0] .SyncResetMux = 2'b00; +defparam \tp_q[0] .SyncLoadMux = 2'b01; +defparam \tp_q[0] .coord_x = 1; +defparam \tp_q[0] .coord_y = 15; +defparam \tp_q[0] .coord_z = 9; +// Location: FF_X1_Y15_N2 +// alta_lcell_ff lcd_reset_q( +// Location: LCCOMB_X1_Y15_N2 +// alta_lcell_comb \lcd_reset_q~1 ( +alta_slice lcd_reset_q( + .A(vcc), + .B(vcc), + .C(\MCU_D[0]~input_o ), + .D(vcc), + .Cin(), + .Qin(\lcd_reset_q~q ), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__lcd_reset_q~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\lcd_reset_q~1_combout ), + .Cout(), + .Q(\lcd_reset_q~q )); +defparam lcd_reset_q.mask = 16'h0F0F; +defparam lcd_reset_q.mode = "logic"; +defparam lcd_reset_q.modeMux = 1'b0; +defparam lcd_reset_q.FeedbackMux = 1'b0; +defparam lcd_reset_q.ShiftMux = 1'b0; +defparam lcd_reset_q.BypassEn = 1'b0; +defparam lcd_reset_q.CarryEnb = 1'b1; +defparam lcd_reset_q.AsyncResetMux = 2'b00; +defparam lcd_reset_q.SyncResetMux = 2'bxx; +defparam lcd_reset_q.SyncLoadMux = 2'bxx; +defparam lcd_reset_q.coord_x = 1; +defparam lcd_reset_q.coord_y = 15; +defparam lcd_reset_q.coord_z = 1; +// Location: FF_X1_Y15_N20 +// alta_lcell_ff \tp_q[1] ( +alta_slice \tp_q[1] ( + .A(), + .B(), + .C(\MCU_D[1]~input_o ), + .D(), + .Cin(), + .Qin(tp_q[1]), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(SyncReset_X1_Y15_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y15_VCC), + .LutOut(), + .Cout(), + .Q(tp_q[1])); +defparam \tp_q[1] .mask = 16'hFFFF; +defparam \tp_q[1] .mode = "ripple"; +defparam \tp_q[1] .modeMux = 1'b1; +defparam \tp_q[1] .FeedbackMux = 1'b0; +defparam \tp_q[1] .ShiftMux = 1'b0; +defparam \tp_q[1] .BypassEn = 1'b1; +defparam \tp_q[1] .CarryEnb = 1'b1; +defparam \tp_q[1] .AsyncResetMux = 2'b00; +defparam \tp_q[1] .SyncResetMux = 2'b00; +defparam \tp_q[1] .SyncLoadMux = 2'b01; +defparam \tp_q[1] .coord_x = 1; +defparam \tp_q[1] .coord_y = 15; +defparam \tp_q[1] .coord_z = 10; +// Location: FF_X1_Y15_N22 +// alta_lcell_ff audio_reset_q( +// Location: LCCOMB_X1_Y15_N22 +// alta_lcell_comb \audio_reset_q~0 ( +alta_slice audio_reset_q( + .A(vcc), + .B(vcc), + .C(\MCU_D[1]~input_o ), + .D(vcc), + .Cin(), + .Qin(\audio_reset_q~q ), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__lcd_reset_q~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\audio_reset_q~0_combout ), + .Cout(), + .Q(\audio_reset_q~q )); +defparam audio_reset_q.mask = 16'h0F0F; +defparam audio_reset_q.mode = "logic"; +defparam audio_reset_q.modeMux = 1'b0; +defparam audio_reset_q.FeedbackMux = 1'b0; +defparam audio_reset_q.ShiftMux = 1'b0; +defparam audio_reset_q.BypassEn = 1'b0; +defparam audio_reset_q.CarryEnb = 1'b1; +defparam audio_reset_q.AsyncResetMux = 2'b00; +defparam audio_reset_q.SyncResetMux = 2'bxx; +defparam audio_reset_q.SyncLoadMux = 2'bxx; +defparam audio_reset_q.coord_x = 1; +defparam audio_reset_q.coord_y = 15; +defparam audio_reset_q.coord_z = 11; +// Location: FF_X1_Y15_N24 +// alta_lcell_ff \tp_q[7] ( +// Location: LCCOMB_X1_Y15_N24 +// alta_lcell_comb \tp_q[7]~feeder ( +alta_slice \tp_q[7] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[7]~input_o ), + .Cin(), + .Qin(tp_q[7]), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\tp_q[7]~feeder_combout ), + .Cout(), + .Q(tp_q[7])); +defparam \tp_q[7] .mask = 16'hFF00; +defparam \tp_q[7] .mode = "logic"; +defparam \tp_q[7] .modeMux = 1'b0; +defparam \tp_q[7] .FeedbackMux = 1'b0; +defparam \tp_q[7] .ShiftMux = 1'b0; +defparam \tp_q[7] .BypassEn = 1'b0; +defparam \tp_q[7] .CarryEnb = 1'b1; +defparam \tp_q[7] .AsyncResetMux = 2'b00; +defparam \tp_q[7] .SyncResetMux = 2'bxx; +defparam \tp_q[7] .SyncLoadMux = 2'bxx; +defparam \tp_q[7] .coord_x = 1; +defparam \tp_q[7] .coord_y = 15; +defparam \tp_q[7] .coord_z = 12; +// Location: FF_X1_Y15_N26 +// alta_lcell_ff \tp_q[2] ( +// Location: LCCOMB_X1_Y15_N26 +// alta_lcell_comb \tp_q[2]~feeder ( +alta_slice \tp_q[2] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[2]~input_o ), + .Cin(), + .Qin(tp_q[2]), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\tp_q[2]~feeder_combout ), + .Cout(), + .Q(tp_q[2])); +defparam \tp_q[2] .mask = 16'hFF00; +defparam \tp_q[2] .mode = "logic"; +defparam \tp_q[2] .modeMux = 1'b0; +defparam \tp_q[2] .FeedbackMux = 1'b0; +defparam \tp_q[2] .ShiftMux = 1'b0; +defparam \tp_q[2] .BypassEn = 1'b0; +defparam \tp_q[2] .CarryEnb = 1'b1; +defparam \tp_q[2] .AsyncResetMux = 2'b00; +defparam \tp_q[2] .SyncResetMux = 2'bxx; +defparam \tp_q[2] .SyncLoadMux = 2'bxx; +defparam \tp_q[2] .coord_x = 1; +defparam \tp_q[2] .coord_y = 15; +defparam \tp_q[2] .coord_z = 13; +// Location: FF_X1_Y15_N28 +// alta_lcell_ff \tp_q[5] ( +alta_slice \tp_q[5] ( + .A(), + .B(), + .C(\MCU_D[5]~input_o ), + .D(), + .Cin(), + .Qin(tp_q[5]), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(SyncReset_X1_Y15_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y15_VCC), + .LutOut(), + .Cout(), + .Q(tp_q[5])); +defparam \tp_q[5] .mask = 16'hFFFF; +defparam \tp_q[5] .mode = "ripple"; +defparam \tp_q[5] .modeMux = 1'b1; +defparam \tp_q[5] .FeedbackMux = 1'b0; +defparam \tp_q[5] .ShiftMux = 1'b0; +defparam \tp_q[5] .BypassEn = 1'b1; +defparam \tp_q[5] .CarryEnb = 1'b1; +defparam \tp_q[5] .AsyncResetMux = 2'b00; +defparam \tp_q[5] .SyncResetMux = 2'b00; +defparam \tp_q[5] .SyncLoadMux = 2'b01; +defparam \tp_q[5] .coord_x = 1; +defparam \tp_q[5] .coord_y = 15; +defparam \tp_q[5] .coord_z = 14; +// Location: FF_X1_Y15_N30 +// alta_lcell_ff ref_en_q( +// Location: LCCOMB_X1_Y15_N30 +// alta_lcell_comb \ref_en_q~feeder ( +alta_slice ref_en_q( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[6]~input_o ), + .Cin(), + .Qin(\ref_en_q~q ), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__lcd_reset_q~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\ref_en_q~feeder_combout ), + .Cout(), + .Q(\ref_en_q~q )); +defparam ref_en_q.mask = 16'hFF00; +defparam ref_en_q.mode = "logic"; +defparam ref_en_q.modeMux = 1'b0; +defparam ref_en_q.FeedbackMux = 1'b0; +defparam ref_en_q.ShiftMux = 1'b0; +defparam ref_en_q.BypassEn = 1'b0; +defparam ref_en_q.CarryEnb = 1'b1; +defparam ref_en_q.AsyncResetMux = 2'b00; +defparam ref_en_q.SyncResetMux = 2'bxx; +defparam ref_en_q.SyncLoadMux = 2'bxx; +defparam ref_en_q.coord_x = 1; +defparam ref_en_q.coord_y = 15; +defparam ref_en_q.coord_z = 15; +// Location: LCCOMB_X1_Y15_N4 +// alta_lcell_comb \tp_q[3]~0 ( +alta_slice \tp_q[3]~0 ( + .A(vcc), + .B(vcc), + .C(\MCU_ADDR~input_o ), + .D(\MCU_DIR~input_o ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\tp_q[3]~0_combout ), + .Cout(), + .Q()); +defparam \tp_q[3]~0 .mask = 16'h000F; +defparam \tp_q[3]~0 .mode = "logic"; +defparam \tp_q[3]~0 .modeMux = 1'b0; +defparam \tp_q[3]~0 .FeedbackMux = 1'b0; +defparam \tp_q[3]~0 .ShiftMux = 1'b0; +defparam \tp_q[3]~0 .BypassEn = 1'b0; +defparam \tp_q[3]~0 .CarryEnb = 1'b1; +defparam \tp_q[3]~0 .AsyncResetMux = 2'bxx; +defparam \tp_q[3]~0 .SyncResetMux = 2'bxx; +defparam \tp_q[3]~0 .SyncLoadMux = 2'bxx; +defparam \tp_q[3]~0 .coord_x = 1; +defparam \tp_q[3]~0 .coord_y = 15; +defparam \tp_q[3]~0 .coord_z = 2; +// Location: FF_X1_Y15_N6 +// alta_lcell_ff sysoff_q( +// Location: LCCOMB_X1_Y15_N6 +// alta_lcell_comb \sysoff_q~feeder ( +alta_slice sysoff_q( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[2]~input_o ), + .Cin(), + .Qin(\sysoff_q~q ), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__lcd_reset_q~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\sysoff_q~feeder_combout ), + .Cout(), + .Q(\sysoff_q~q )); +defparam sysoff_q.mask = 16'hFF00; +defparam sysoff_q.mode = "logic"; +defparam sysoff_q.modeMux = 1'b0; +defparam sysoff_q.FeedbackMux = 1'b0; +defparam sysoff_q.ShiftMux = 1'b0; +defparam sysoff_q.BypassEn = 1'b0; +defparam sysoff_q.CarryEnb = 1'b1; +defparam sysoff_q.AsyncResetMux = 2'b00; +defparam sysoff_q.SyncResetMux = 2'bxx; +defparam sysoff_q.SyncLoadMux = 2'bxx; +defparam sysoff_q.coord_x = 1; +defparam sysoff_q.coord_y = 15; +defparam sysoff_q.coord_z = 3; +// Location: FF_X1_Y15_N8 +// alta_lcell_ff \tp_q[6] ( +// Location: LCCOMB_X1_Y15_N8 +// alta_lcell_comb \tp_q[6]~feeder ( +alta_slice \tp_q[6] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[6]~input_o ), + .Cin(), + .Qin(tp_q[6]), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\tp_q[6]~feeder_combout ), + .Cout(), + .Q(tp_q[6])); +defparam \tp_q[6] .mask = 16'hFF00; +defparam \tp_q[6] .mode = "logic"; +defparam \tp_q[6] .modeMux = 1'b0; +defparam \tp_q[6] .FeedbackMux = 1'b0; +defparam \tp_q[6] .ShiftMux = 1'b0; +defparam \tp_q[6] .BypassEn = 1'b0; +defparam \tp_q[6] .CarryEnb = 1'b1; +defparam \tp_q[6] .AsyncResetMux = 2'b00; +defparam \tp_q[6] .SyncResetMux = 2'bxx; +defparam \tp_q[6] .SyncLoadMux = 2'bxx; + +defparam \tp_q[6] .coord_x = 1; +defparam \tp_q[6] .coord_y = 15; +defparam \tp_q[6] .coord_z = 4; +// Location: CLKENCTRL_X1_Y15_N0 +alta_clkenctrl clken_ctrl_X1_Y15_N0(.ClkIn(\MCU_IO_STBX~inputclkctrl_outclk ), .ClkEn(\tp_q[3]~0_combout ), .ClkOut(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG )); +defparam clken_ctrl_X1_Y15_N0.ClkMux = 2'b10; +defparam clken_ctrl_X1_Y15_N0.ClkEnMux = 2'b10; + +defparam clken_ctrl_X1_Y15_N0.coord_x = 1; +defparam clken_ctrl_X1_Y15_N0.coord_y = 15; +defparam clken_ctrl_X1_Y15_N0.coord_z = 0; +// Location: ASYNCCTRL_X1_Y15_N0 +alta_asyncctrl asyncreset_ctrl_X1_Y15_N0(.Din(), .Dout(AsyncReset_X1_Y15_GND)); +defparam asyncreset_ctrl_X1_Y15_N0.AsyncCtrlMux = 2'b00; + +defparam asyncreset_ctrl_X1_Y15_N0.coord_x = 1; +defparam asyncreset_ctrl_X1_Y15_N0.coord_y = 15; +defparam asyncreset_ctrl_X1_Y15_N0.coord_z = 0; +// Location: CLKENCTRL_X1_Y15_N1 +alta_clkenctrl clken_ctrl_X1_Y15_N1(.ClkIn(\MCU_IO_STBX~inputclkctrl_outclk ), .ClkEn(\lcd_reset_q~0_combout ), .ClkOut(\MCU_IO_STBX~inputclkctrl_outclk__lcd_reset_q~0_combout_X1_Y15_SIG_SIG )); +defparam clken_ctrl_X1_Y15_N1.ClkMux = 2'b10; +defparam clken_ctrl_X1_Y15_N1.ClkEnMux = 2'b10; + +defparam clken_ctrl_X1_Y15_N1.coord_x = 1; +defparam clken_ctrl_X1_Y15_N1.coord_y = 15; +defparam clken_ctrl_X1_Y15_N1.coord_z = 1; +// Location: SYNCCTRL_X1_Y15_N0 +alta_syncctrl syncreset_ctrl_X1_Y15(.Din(), .Dout(SyncReset_X1_Y15_GND)); +defparam syncreset_ctrl_X1_Y15.SyncCtrlMux = 2'b00; + +defparam syncreset_ctrl_X1_Y15.coord_x = 1; +defparam syncreset_ctrl_X1_Y15.coord_y = 15; +defparam syncreset_ctrl_X1_Y15.coord_z = 0; +// Location: SYNCCTRL_X1_Y15_N1 +alta_syncctrl syncload_ctrl_X1_Y15(.Din(), .Dout(SyncLoad_X1_Y15_VCC)); +defparam syncload_ctrl_X1_Y15.SyncCtrlMux = 2'b01; +defparam syncload_ctrl_X1_Y15.coord_x = 1; +defparam syncload_ctrl_X1_Y15.coord_y = 15; +defparam syncload_ctrl_X1_Y15.coord_z = 1; +// Location: LCCOMB_X1_Y18_N14 +// alta_lcell_comb \mcu_data_out[7]~15 ( +alta_slice \mcu_data_out[7]~15 ( + .A(\LCD_TE~input_o ), + .B(\MCU_DIR~input_o ), + .C(\MCU_IO_STBX~input_o ), + .D(\mcu_data_out[7]~14_combout ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\mcu_data_out[7]~15_combout ), + .Cout(), + .Q()); +defparam \mcu_data_out[7]~15 .mask = 16'hFB08; +defparam \mcu_data_out[7]~15 .mode = "logic"; +defparam \mcu_data_out[7]~15 .modeMux = 1'b0; +defparam \mcu_data_out[7]~15 .FeedbackMux = 1'b0; +defparam \mcu_data_out[7]~15 .ShiftMux = 1'b0; +defparam \mcu_data_out[7]~15 .BypassEn = 1'b0; +defparam \mcu_data_out[7]~15 .CarryEnb = 1'b1; +defparam \mcu_data_out[7]~15 .AsyncResetMux = 2'bxx; +defparam \mcu_data_out[7]~15 .SyncResetMux = 2'bxx; +defparam \mcu_data_out[7]~15 .SyncLoadMux = 2'bxx; +defparam \mcu_data_out[7]~15 .coord_x = 1; +defparam \mcu_data_out[7]~15 .coord_y = 18; +defparam \mcu_data_out[7]~15 .coord_z = 7; +// Location: LCCOMB_X1_Y18_N16 +// alta_lcell_comb \mcu_data_out[6]~13 ( +alta_slice \mcu_data_out[6]~13 ( + .A(\SW_ROT_B~input_o ), + .B(\MCU_IO_STBX~input_o ), + .C(\mcu_data_out[6]~12_combout ), + .D(\MCU_DIR~input_o ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\mcu_data_out[6]~13_combout ), + .Cout(), + .Q()); +defparam \mcu_data_out[6]~13 .mask = 16'hD1F0; +defparam \mcu_data_out[6]~13 .mode = "logic"; +defparam \mcu_data_out[6]~13 .modeMux = 1'b0; +defparam \mcu_data_out[6]~13 .FeedbackMux = 1'b0; +defparam \mcu_data_out[6]~13 .ShiftMux = 1'b0; +defparam \mcu_data_out[6]~13 .BypassEn = 1'b0; +defparam \mcu_data_out[6]~13 .CarryEnb = 1'b1; +defparam \mcu_data_out[6]~13 .AsyncResetMux = 2'bxx; +defparam \mcu_data_out[6]~13 .SyncResetMux = 2'bxx; +defparam \mcu_data_out[6]~13 .SyncLoadMux = 2'bxx; +defparam \mcu_data_out[6]~13 .coord_x = 1; +defparam \mcu_data_out[6]~13 .coord_y = 18; +defparam \mcu_data_out[6]~13 .coord_z = 8; +// Location: LCCOMB_X1_Y18_N28 +// alta_lcell_comb \mcu_data_out[1]~3 ( +alta_slice \mcu_data_out[1]~3 ( + .A(\SW_L~input_o ), + .B(\MCU_DIR~input_o ), + .C(\MCU_IO_STBX~input_o ), + .D(\mcu_data_out[1]~2_combout ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\mcu_data_out[1]~3_combout ), + .Cout(), + .Q()); +defparam \mcu_data_out[1]~3 .mask = 16'hF704; +defparam \mcu_data_out[1]~3 .mode = "logic"; +defparam \mcu_data_out[1]~3 .modeMux = 1'b0; +defparam \mcu_data_out[1]~3 .FeedbackMux = 1'b0; +defparam \mcu_data_out[1]~3 .ShiftMux = 1'b0; +defparam \mcu_data_out[1]~3 .BypassEn = 1'b0; +defparam \mcu_data_out[1]~3 .CarryEnb = 1'b1; +defparam \mcu_data_out[1]~3 .AsyncResetMux = 2'bxx; +defparam \mcu_data_out[1]~3 .SyncResetMux = 2'bxx; +defparam \mcu_data_out[1]~3 .SyncLoadMux = 2'bxx; +defparam \mcu_data_out[1]~3 .coord_x = 1; +defparam \mcu_data_out[1]~3 .coord_y = 18; +defparam \mcu_data_out[1]~3 .coord_z = 14; +// Location: LCCOMB_X1_Y18_N30 +// alta_lcell_comb \mcu_data_out[0]~1 ( +alta_slice \mcu_data_out[0]~1 ( + .A(\SW_R~input_o ), + .B(\MCU_IO_STBX~input_o ), + .C(\mcu_data_out[0]~0_combout ), + .D(\MCU_DIR~input_o ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\mcu_data_out[0]~1_combout ), + .Cout(), + .Q()); +defparam \mcu_data_out[0]~1 .mask = 16'hD1F0; +defparam \mcu_data_out[0]~1 .mode = "logic"; +defparam \mcu_data_out[0]~1 .modeMux = 1'b0; +defparam \mcu_data_out[0]~1 .FeedbackMux = 1'b0; +defparam \mcu_data_out[0]~1 .ShiftMux = 1'b0; +defparam \mcu_data_out[0]~1 .BypassEn = 1'b0; +defparam \mcu_data_out[0]~1 .CarryEnb = 1'b1; +defparam \mcu_data_out[0]~1 .AsyncResetMux = 2'bxx; +defparam \mcu_data_out[0]~1 .SyncResetMux = 2'bxx; +defparam \mcu_data_out[0]~1 .SyncLoadMux = 2'bxx; +defparam \mcu_data_out[0]~1 .coord_x = 1; +defparam \mcu_data_out[0]~1 .coord_y = 18; +defparam \mcu_data_out[0]~1 .coord_z = 15; +// Location: FF_X1_Y19_N30 +// alta_lcell_ff \lcd_data_in_q[0] ( +// Location: LCCOMB_X1_Y19_N30 +// alta_lcell_comb \mcu_data_out[0]~0 ( +alta_slice \lcd_data_in_q[0] ( + .A(\LCD_DB[8]~input_o ), + .B(vcc), + .C(\LCD_DB[0]~input_o ), + .D(\MCU_LCD_RDX~input_o ), + .Cin(), + .Qin(lcd_data_in_q[0]), + .Clk(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y19_SIG_VCC ), + .AsyncReset(AsyncReset_X1_Y19_GND), + .SyncReset(SyncReset_X1_Y19_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y19_VCC), + .LutOut(\mcu_data_out[0]~0_combout ), + .Cout(), + .Q(lcd_data_in_q[0])); +defparam \lcd_data_in_q[0] .mask = 16'hF0AA; +defparam \lcd_data_in_q[0] .mode = "logic"; +defparam \lcd_data_in_q[0] .modeMux = 1'b0; +defparam \lcd_data_in_q[0] .FeedbackMux = 1'b1; +defparam \lcd_data_in_q[0] .ShiftMux = 1'b0; +defparam \lcd_data_in_q[0] .BypassEn = 1'b1; +defparam \lcd_data_in_q[0] .CarryEnb = 1'b1; +defparam \lcd_data_in_q[0] .AsyncResetMux = 2'b00; +defparam \lcd_data_in_q[0] .SyncResetMux = 2'b00; +defparam \lcd_data_in_q[0] .SyncLoadMux = 2'b01; +defparam \lcd_data_in_q[0] .coord_x = 1; +defparam \lcd_data_in_q[0] .coord_y = 19; +defparam \lcd_data_in_q[0] .coord_z = 15; +// Location: FF_X1_Y19_N4 +// alta_lcell_ff \lcd_data_in_q[6] ( +// Location: LCCOMB_X1_Y19_N4 +// alta_lcell_comb \mcu_data_out[6]~12 ( +alta_slice \lcd_data_in_q[6] ( + .A(\LCD_DB[14]~input_o ), + .B(vcc), + .C(\LCD_DB[6]~input_o ), + .D(\MCU_LCD_RDX~input_o ), + .Cin(), + .Qin(lcd_data_in_q[6]), + .Clk(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y19_SIG_VCC ), + .AsyncReset(AsyncReset_X1_Y19_GND), + .SyncReset(SyncReset_X1_Y19_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y19_VCC), + .LutOut(\mcu_data_out[6]~12_combout ), + .Cout(), + .Q(lcd_data_in_q[6])); +defparam \lcd_data_in_q[6] .mask = 16'hF0AA; +defparam \lcd_data_in_q[6] .mode = "logic"; +defparam \lcd_data_in_q[6] .modeMux = 1'b0; +defparam \lcd_data_in_q[6] .FeedbackMux = 1'b1; +defparam \lcd_data_in_q[6] .ShiftMux = 1'b0; +defparam \lcd_data_in_q[6] .BypassEn = 1'b1; +defparam \lcd_data_in_q[6] .CarryEnb = 1'b1; +defparam \lcd_data_in_q[6] .AsyncResetMux = 2'b00; +defparam \lcd_data_in_q[6] .SyncResetMux = 2'b00; +defparam \lcd_data_in_q[6] .SyncLoadMux = 2'b01; + +defparam \lcd_data_in_q[6] .coord_x = 1; +defparam \lcd_data_in_q[6] .coord_y = 19; +defparam \lcd_data_in_q[6] .coord_z = 2; +// Location: CLKENCTRL_X1_Y19_N0 +alta_clkenctrl clken_ctrl_X1_Y19_N0(.ClkIn(\MCU_LCD_RDX~inputclkctrl_outclk ), .ClkEn(), .ClkOut(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y19_SIG_VCC )); +defparam clken_ctrl_X1_Y19_N0.ClkMux = 2'b10; +defparam clken_ctrl_X1_Y19_N0.ClkEnMux = 2'b01; + +defparam clken_ctrl_X1_Y19_N0.coord_x = 1; +defparam clken_ctrl_X1_Y19_N0.coord_y = 19; +defparam clken_ctrl_X1_Y19_N0.coord_z = 0; +// Location: ASYNCCTRL_X1_Y19_N0 +alta_asyncctrl asyncreset_ctrl_X1_Y19_N0(.Din(), .Dout(AsyncReset_X1_Y19_GND)); +defparam asyncreset_ctrl_X1_Y19_N0.AsyncCtrlMux = 2'b00; + +defparam asyncreset_ctrl_X1_Y19_N0.coord_x = 1; +defparam asyncreset_ctrl_X1_Y19_N0.coord_y = 19; +defparam asyncreset_ctrl_X1_Y19_N0.coord_z = 0; +// Location: SYNCCTRL_X1_Y19_N0 +alta_syncctrl syncreset_ctrl_X1_Y19(.Din(), .Dout(SyncReset_X1_Y19_GND)); +defparam syncreset_ctrl_X1_Y19.SyncCtrlMux = 2'b00; + +defparam syncreset_ctrl_X1_Y19.coord_x = 1; +defparam syncreset_ctrl_X1_Y19.coord_y = 19; +defparam syncreset_ctrl_X1_Y19.coord_z = 0; +// Location: SYNCCTRL_X1_Y19_N1 +alta_syncctrl syncload_ctrl_X1_Y19(.Din(), .Dout(SyncLoad_X1_Y19_VCC)); +defparam syncload_ctrl_X1_Y19.SyncCtrlMux = 2'b01; +defparam syncload_ctrl_X1_Y19.coord_x = 1; +defparam syncload_ctrl_X1_Y19.coord_y = 19; +defparam syncload_ctrl_X1_Y19.coord_z = 1; +// Location: FF_X1_Y20_N0 +// alta_lcell_ff \lcd_data_out_q[1] ( +// Location: LCCOMB_X1_Y20_N0 +// alta_lcell_comb \lcd_data_out_q[1]~feeder ( +alta_slice \lcd_data_out_q[1] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[1]~input_o ), + .Cin(), + .Qin(lcd_data_out_q[1]), + .Clk(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y20_INV_VCC ), + .AsyncReset(AsyncReset_X1_Y20_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\lcd_data_out_q[1]~feeder_combout ), + .Cout(), + .Q(lcd_data_out_q[1])); +defparam \lcd_data_out_q[1] .mask = 16'hFF00; +defparam \lcd_data_out_q[1] .mode = "logic"; +defparam \lcd_data_out_q[1] .modeMux = 1'b0; +defparam \lcd_data_out_q[1] .FeedbackMux = 1'b0; +defparam \lcd_data_out_q[1] .ShiftMux = 1'b0; +defparam \lcd_data_out_q[1] .BypassEn = 1'b0; +defparam \lcd_data_out_q[1] .CarryEnb = 1'b1; +defparam \lcd_data_out_q[1] .AsyncResetMux = 2'b00; +defparam \lcd_data_out_q[1] .SyncResetMux = 2'bxx; +defparam \lcd_data_out_q[1] .SyncLoadMux = 2'bxx; +defparam \lcd_data_out_q[1] .coord_x = 1; +defparam \lcd_data_out_q[1] .coord_y = 20; +defparam \lcd_data_out_q[1] .coord_z = 0; +// Location: FF_X1_Y20_N10 +// alta_lcell_ff \lcd_data_out_q[2] ( +// Location: LCCOMB_X1_Y20_N10 +// alta_lcell_comb \lcd_data_out_q[2]~feeder ( +alta_slice \lcd_data_out_q[2] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[2]~input_o ), + .Cin(), + .Qin(lcd_data_out_q[2]), + .Clk(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y20_INV_VCC ), + .AsyncReset(AsyncReset_X1_Y20_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\lcd_data_out_q[2]~feeder_combout ), + .Cout(), + .Q(lcd_data_out_q[2])); +defparam \lcd_data_out_q[2] .mask = 16'hFF00; +defparam \lcd_data_out_q[2] .mode = "logic"; +defparam \lcd_data_out_q[2] .modeMux = 1'b0; +defparam \lcd_data_out_q[2] .FeedbackMux = 1'b0; +defparam \lcd_data_out_q[2] .ShiftMux = 1'b0; +defparam \lcd_data_out_q[2] .BypassEn = 1'b0; +defparam \lcd_data_out_q[2] .CarryEnb = 1'b1; +defparam \lcd_data_out_q[2] .AsyncResetMux = 2'b00; +defparam \lcd_data_out_q[2] .SyncResetMux = 2'bxx; +defparam \lcd_data_out_q[2] .SyncLoadMux = 2'bxx; +defparam \lcd_data_out_q[2] .coord_x = 1; +defparam \lcd_data_out_q[2] .coord_y = 20; +defparam \lcd_data_out_q[2] .coord_z = 5; +// Location: FF_X1_Y20_N12 +// alta_lcell_ff \lcd_data_out_q[6] ( +alta_slice \lcd_data_out_q[6] ( + .A(), + .B(), + .C(\MCU_D[6]~input_o ), + .D(), + .Cin(), + .Qin(lcd_data_out_q[6]), + .Clk(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y20_INV_VCC ), + .AsyncReset(AsyncReset_X1_Y20_GND), + .SyncReset(SyncReset_X1_Y20_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y20_VCC), + .LutOut(), + .Cout(), + .Q(lcd_data_out_q[6])); +defparam \lcd_data_out_q[6] .mask = 16'hFFFF; +defparam \lcd_data_out_q[6] .mode = "ripple"; +defparam \lcd_data_out_q[6] .modeMux = 1'b1; +defparam \lcd_data_out_q[6] .FeedbackMux = 1'b0; +defparam \lcd_data_out_q[6] .ShiftMux = 1'b0; +defparam \lcd_data_out_q[6] .BypassEn = 1'b1; +defparam \lcd_data_out_q[6] .CarryEnb = 1'b1; +defparam \lcd_data_out_q[6] .AsyncResetMux = 2'b00; +defparam \lcd_data_out_q[6] .SyncResetMux = 2'b00; +defparam \lcd_data_out_q[6] .SyncLoadMux = 2'b01; +defparam \lcd_data_out_q[6] .coord_x = 1; +defparam \lcd_data_out_q[6] .coord_y = 20; +defparam \lcd_data_out_q[6] .coord_z = 6; +// Location: FF_X1_Y20_N14 +// alta_lcell_ff \lcd_data_out_q[7] ( +// Location: LCCOMB_X1_Y20_N14 +// alta_lcell_comb \lcd_data_out_q[7]~feeder ( +alta_slice \lcd_data_out_q[7] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[7]~input_o ), + .Cin(), + .Qin(lcd_data_out_q[7]), + .Clk(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y20_INV_VCC ), + .AsyncReset(AsyncReset_X1_Y20_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\lcd_data_out_q[7]~feeder_combout ), + .Cout(), + .Q(lcd_data_out_q[7])); +defparam \lcd_data_out_q[7] .mask = 16'hFF00; +defparam \lcd_data_out_q[7] .mode = "logic"; +defparam \lcd_data_out_q[7] .modeMux = 1'b0; +defparam \lcd_data_out_q[7] .FeedbackMux = 1'b0; +defparam \lcd_data_out_q[7] .ShiftMux = 1'b0; +defparam \lcd_data_out_q[7] .BypassEn = 1'b0; +defparam \lcd_data_out_q[7] .CarryEnb = 1'b1; +defparam \lcd_data_out_q[7] .AsyncResetMux = 2'b00; +defparam \lcd_data_out_q[7] .SyncResetMux = 2'bxx; +defparam \lcd_data_out_q[7] .SyncLoadMux = 2'bxx; +defparam \lcd_data_out_q[7] .coord_x = 1; +defparam \lcd_data_out_q[7] .coord_y = 20; +defparam \lcd_data_out_q[7] .coord_z = 7; +// Location: FF_X1_Y20_N30 +// alta_lcell_ff \lcd_data_out_q[0] ( +// Location: LCCOMB_X1_Y20_N30 +// alta_lcell_comb \lcd_data_out_q[0]~feeder ( +alta_slice \lcd_data_out_q[0] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[0]~input_o ), + .Cin(), + .Qin(lcd_data_out_q[0]), + .Clk(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y20_INV_VCC ), + .AsyncReset(AsyncReset_X1_Y20_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\lcd_data_out_q[0]~feeder_combout ), + .Cout(), + .Q(lcd_data_out_q[0])); +defparam \lcd_data_out_q[0] .mask = 16'hFF00; +defparam \lcd_data_out_q[0] .mode = "logic"; +defparam \lcd_data_out_q[0] .modeMux = 1'b0; +defparam \lcd_data_out_q[0] .FeedbackMux = 1'b0; +defparam \lcd_data_out_q[0] .ShiftMux = 1'b0; +defparam \lcd_data_out_q[0] .BypassEn = 1'b0; +defparam \lcd_data_out_q[0] .CarryEnb = 1'b1; +defparam \lcd_data_out_q[0] .AsyncResetMux = 2'b00; +defparam \lcd_data_out_q[0] .SyncResetMux = 2'bxx; +defparam \lcd_data_out_q[0] .SyncLoadMux = 2'bxx; + +defparam \lcd_data_out_q[0] .coord_x = 1; +defparam \lcd_data_out_q[0] .coord_y = 20; +defparam \lcd_data_out_q[0] .coord_z = 15; +// Location: CLKENCTRL_X1_Y20_N0 +alta_clkenctrl clken_ctrl_X1_Y20_N0(.ClkIn(\MCU_LCD_WRX~inputclkctrl_outclk ), .ClkEn(), .ClkOut(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y20_INV_VCC )); +defparam clken_ctrl_X1_Y20_N0.ClkMux = 2'b11; +defparam clken_ctrl_X1_Y20_N0.ClkEnMux = 2'b01; + +defparam clken_ctrl_X1_Y20_N0.coord_x = 1; +defparam clken_ctrl_X1_Y20_N0.coord_y = 20; +defparam clken_ctrl_X1_Y20_N0.coord_z = 0; +// Location: ASYNCCTRL_X1_Y20_N0 +alta_asyncctrl asyncreset_ctrl_X1_Y20_N0(.Din(), .Dout(AsyncReset_X1_Y20_GND)); +defparam asyncreset_ctrl_X1_Y20_N0.AsyncCtrlMux = 2'b00; + +defparam asyncreset_ctrl_X1_Y20_N0.coord_x = 1; +defparam asyncreset_ctrl_X1_Y20_N0.coord_y = 20; +defparam asyncreset_ctrl_X1_Y20_N0.coord_z = 0; +// Location: SYNCCTRL_X1_Y20_N0 +alta_syncctrl syncreset_ctrl_X1_Y20(.Din(), .Dout(SyncReset_X1_Y20_GND)); +defparam syncreset_ctrl_X1_Y20.SyncCtrlMux = 2'b00; + +defparam syncreset_ctrl_X1_Y20.coord_x = 1; +defparam syncreset_ctrl_X1_Y20.coord_y = 20; +defparam syncreset_ctrl_X1_Y20.coord_z = 0; +// Location: SYNCCTRL_X1_Y20_N1 +alta_syncctrl syncload_ctrl_X1_Y20(.Din(), .Dout(SyncLoad_X1_Y20_VCC)); +defparam syncload_ctrl_X1_Y20.SyncCtrlMux = 2'b01; +defparam syncload_ctrl_X1_Y20.coord_x = 1; +defparam syncload_ctrl_X1_Y20.coord_y = 20; +defparam syncload_ctrl_X1_Y20.coord_z = 1; +// Location: FF_X1_Y21_N28 +// alta_lcell_ff \lcd_data_in_q[7] ( +// Location: LCCOMB_X1_Y21_N28 +// alta_lcell_comb \mcu_data_out[7]~14 ( +alta_slice \lcd_data_in_q[7] ( + .A(\LCD_DB[15]~input_o ), + .B(\MCU_LCD_RDX~input_o ), + .C(\LCD_DB[7]~input_o ), + .D(vcc), + .Cin(), + .Qin(lcd_data_in_q[7]), + .Clk(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y21_SIG_VCC ), + .AsyncReset(AsyncReset_X1_Y21_GND), + .SyncReset(SyncReset_X1_Y21_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y21_VCC), + .LutOut(\mcu_data_out[7]~14_combout ), + .Cout(), + .Q(lcd_data_in_q[7])); +defparam \lcd_data_in_q[7] .mask = 16'hE2E2; +defparam \lcd_data_in_q[7] .mode = "logic"; +defparam \lcd_data_in_q[7] .modeMux = 1'b0; +defparam \lcd_data_in_q[7] .FeedbackMux = 1'b1; +defparam \lcd_data_in_q[7] .ShiftMux = 1'b0; +defparam \lcd_data_in_q[7] .BypassEn = 1'b1; +defparam \lcd_data_in_q[7] .CarryEnb = 1'b1; +defparam \lcd_data_in_q[7] .AsyncResetMux = 2'b00; +defparam \lcd_data_in_q[7] .SyncResetMux = 2'b00; +defparam \lcd_data_in_q[7] .SyncLoadMux = 2'b01; +defparam \lcd_data_in_q[7] .coord_x = 1; +defparam \lcd_data_in_q[7] .coord_y = 21; +defparam \lcd_data_in_q[7] .coord_z = 14; +// Location: FF_X1_Y21_N4 +// alta_lcell_ff \lcd_data_in_q[1] ( +// Location: LCCOMB_X1_Y21_N4 +// alta_lcell_comb \mcu_data_out[1]~2 ( +alta_slice \lcd_data_in_q[1] ( + .A(\LCD_DB[9]~input_o ), + .B(\MCU_LCD_RDX~input_o ), + .C(\LCD_DB[1]~input_o ), + .D(vcc), + .Cin(), + .Qin(lcd_data_in_q[1]), + .Clk(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y21_SIG_VCC ), + .AsyncReset(AsyncReset_X1_Y21_GND), + .SyncReset(SyncReset_X1_Y21_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y21_VCC), + .LutOut(\mcu_data_out[1]~2_combout ), + .Cout(), + .Q(lcd_data_in_q[1])); +defparam \lcd_data_in_q[1] .mask = 16'hE2E2; +defparam \lcd_data_in_q[1] .mode = "logic"; +defparam \lcd_data_in_q[1] .modeMux = 1'b0; +defparam \lcd_data_in_q[1] .FeedbackMux = 1'b1; +defparam \lcd_data_in_q[1] .ShiftMux = 1'b0; +defparam \lcd_data_in_q[1] .BypassEn = 1'b1; +defparam \lcd_data_in_q[1] .CarryEnb = 1'b1; +defparam \lcd_data_in_q[1] .AsyncResetMux = 2'b00; +defparam \lcd_data_in_q[1] .SyncResetMux = 2'b00; +defparam \lcd_data_in_q[1] .SyncLoadMux = 2'b01; + +defparam \lcd_data_in_q[1] .coord_x = 1; +defparam \lcd_data_in_q[1] .coord_y = 21; +defparam \lcd_data_in_q[1] .coord_z = 2; +// Location: CLKENCTRL_X1_Y21_N0 +alta_clkenctrl clken_ctrl_X1_Y21_N0(.ClkIn(\MCU_LCD_RDX~inputclkctrl_outclk ), .ClkEn(), .ClkOut(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y21_SIG_VCC )); +defparam clken_ctrl_X1_Y21_N0.ClkMux = 2'b10; +defparam clken_ctrl_X1_Y21_N0.ClkEnMux = 2'b01; + +defparam clken_ctrl_X1_Y21_N0.coord_x = 1; +defparam clken_ctrl_X1_Y21_N0.coord_y = 21; +defparam clken_ctrl_X1_Y21_N0.coord_z = 0; +// Location: ASYNCCTRL_X1_Y21_N0 +alta_asyncctrl asyncreset_ctrl_X1_Y21_N0(.Din(), .Dout(AsyncReset_X1_Y21_GND)); +defparam asyncreset_ctrl_X1_Y21_N0.AsyncCtrlMux = 2'b00; + +defparam asyncreset_ctrl_X1_Y21_N0.coord_x = 1; +defparam asyncreset_ctrl_X1_Y21_N0.coord_y = 21; +defparam asyncreset_ctrl_X1_Y21_N0.coord_z = 0; +// Location: SYNCCTRL_X1_Y21_N0 +alta_syncctrl syncreset_ctrl_X1_Y21(.Din(), .Dout(SyncReset_X1_Y21_GND)); +defparam syncreset_ctrl_X1_Y21.SyncCtrlMux = 2'b00; + +defparam syncreset_ctrl_X1_Y21.coord_x = 1; +defparam syncreset_ctrl_X1_Y21.coord_y = 21; +defparam syncreset_ctrl_X1_Y21.coord_z = 0; +// Location: SYNCCTRL_X1_Y21_N1 +alta_syncctrl syncload_ctrl_X1_Y21(.Din(), .Dout(SyncLoad_X1_Y21_VCC)); +defparam syncload_ctrl_X1_Y21.SyncCtrlMux = 2'b01; +defparam syncload_ctrl_X1_Y21.coord_x = 1; +defparam syncload_ctrl_X1_Y21.coord_y = 21; +defparam syncload_ctrl_X1_Y21.coord_z = 1; +// Location: LCCOMB_X1_Y23_N12 +// alta_lcell_comb \mcu_data_out[3]~7 ( +alta_slice \mcu_data_out[3]~7 ( + .A(\SW_U~input_o ), + .B(\MCU_IO_STBX~input_o ), + .C(\MCU_DIR~input_o ), + .D(\mcu_data_out[3]~6_combout ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\mcu_data_out[3]~7_combout ), + .Cout(), + .Q()); +defparam \mcu_data_out[3]~7 .mask = 16'hDF10; +defparam \mcu_data_out[3]~7 .mode = "logic"; +defparam \mcu_data_out[3]~7 .modeMux = 1'b0; +defparam \mcu_data_out[3]~7 .FeedbackMux = 1'b0; +defparam \mcu_data_out[3]~7 .ShiftMux = 1'b0; +defparam \mcu_data_out[3]~7 .BypassEn = 1'b0; +defparam \mcu_data_out[3]~7 .CarryEnb = 1'b1; +defparam \mcu_data_out[3]~7 .AsyncResetMux = 2'bxx; +defparam \mcu_data_out[3]~7 .SyncResetMux = 2'bxx; +defparam \mcu_data_out[3]~7 .SyncLoadMux = 2'bxx; +defparam \mcu_data_out[3]~7 .coord_x = 1; +defparam \mcu_data_out[3]~7 .coord_y = 23; +defparam \mcu_data_out[3]~7 .coord_z = 6; +// Location: LCCOMB_X1_Y23_N14 +// alta_lcell_comb \mcu_data_out[4]~9 ( +alta_slice \mcu_data_out[4]~9 ( + .A(\MCU_DIR~input_o ), + .B(\SW_SEL~input_o ), + .C(\MCU_IO_STBX~input_o ), + .D(\mcu_data_out[4]~8_combout ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\mcu_data_out[4]~9_combout ), + .Cout(), + .Q()); +defparam \mcu_data_out[4]~9 .mask = 16'hF702; +defparam \mcu_data_out[4]~9 .mode = "logic"; +defparam \mcu_data_out[4]~9 .modeMux = 1'b0; +defparam \mcu_data_out[4]~9 .FeedbackMux = 1'b0; +defparam \mcu_data_out[4]~9 .ShiftMux = 1'b0; +defparam \mcu_data_out[4]~9 .BypassEn = 1'b0; +defparam \mcu_data_out[4]~9 .CarryEnb = 1'b1; +defparam \mcu_data_out[4]~9 .AsyncResetMux = 2'bxx; +defparam \mcu_data_out[4]~9 .SyncResetMux = 2'bxx; +defparam \mcu_data_out[4]~9 .SyncLoadMux = 2'bxx; +defparam \mcu_data_out[4]~9 .coord_x = 1; +defparam \mcu_data_out[4]~9 .coord_y = 23; +defparam \mcu_data_out[4]~9 .coord_z = 7; +// Location: LCCOMB_X1_Y23_N16 +// alta_lcell_comb \mcu_data_out[2]~5 ( +alta_slice \mcu_data_out[2]~5 ( + .A(\SW_D~input_o ), + .B(\MCU_IO_STBX~input_o ), + .C(\mcu_data_out[2]~4_combout ), + .D(\MCU_DIR~input_o ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\mcu_data_out[2]~5_combout ), + .Cout(), + .Q()); +defparam \mcu_data_out[2]~5 .mask = 16'hD1F0; +defparam \mcu_data_out[2]~5 .mode = "logic"; +defparam \mcu_data_out[2]~5 .modeMux = 1'b0; +defparam \mcu_data_out[2]~5 .FeedbackMux = 1'b0; +defparam \mcu_data_out[2]~5 .ShiftMux = 1'b0; +defparam \mcu_data_out[2]~5 .BypassEn = 1'b0; +defparam \mcu_data_out[2]~5 .CarryEnb = 1'b1; +defparam \mcu_data_out[2]~5 .AsyncResetMux = 2'bxx; +defparam \mcu_data_out[2]~5 .SyncResetMux = 2'bxx; +defparam \mcu_data_out[2]~5 .SyncLoadMux = 2'bxx; +defparam \mcu_data_out[2]~5 .coord_x = 1; +defparam \mcu_data_out[2]~5 .coord_y = 23; +defparam \mcu_data_out[2]~5 .coord_z = 8; +// Location: LCCOMB_X1_Y23_N30 +// alta_lcell_comb \mcu_data_out[5]~11 ( +alta_slice \mcu_data_out[5]~11 ( + .A(\MCU_DIR~input_o ), + .B(\SW_ROT_A~input_o ), + .C(\MCU_IO_STBX~input_o ), + .D(\mcu_data_out[5]~10_combout ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\mcu_data_out[5]~11_combout ), + .Cout(), + .Q()); +defparam \mcu_data_out[5]~11 .mask = 16'hF702; +defparam \mcu_data_out[5]~11 .mode = "logic"; +defparam \mcu_data_out[5]~11 .modeMux = 1'b0; +defparam \mcu_data_out[5]~11 .FeedbackMux = 1'b0; +defparam \mcu_data_out[5]~11 .ShiftMux = 1'b0; +defparam \mcu_data_out[5]~11 .BypassEn = 1'b0; +defparam \mcu_data_out[5]~11 .CarryEnb = 1'b1; +defparam \mcu_data_out[5]~11 .AsyncResetMux = 2'bxx; +defparam \mcu_data_out[5]~11 .SyncResetMux = 2'bxx; +defparam \mcu_data_out[5]~11 .SyncLoadMux = 2'bxx; +defparam \mcu_data_out[5]~11 .coord_x = 1; +defparam \mcu_data_out[5]~11 .coord_y = 23; +defparam \mcu_data_out[5]~11 .coord_z = 15; +// Location: FF_X1_Y24_N30 +// alta_lcell_ff \lcd_data_in_q[2] ( +// Location: LCCOMB_X1_Y24_N30 +// alta_lcell_comb \mcu_data_out[2]~4 ( +alta_slice \lcd_data_in_q[2] ( + .A(\LCD_DB[10]~input_o ), + .B(vcc), + .C(\LCD_DB[2]~input_o ), + .D(\MCU_LCD_RDX~input_o ), + .Cin(), + .Qin(lcd_data_in_q[2]), + .Clk(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y24_SIG_VCC ), + .AsyncReset(AsyncReset_X1_Y24_GND), + .SyncReset(SyncReset_X1_Y24_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y24_VCC), + .LutOut(\mcu_data_out[2]~4_combout ), + .Cout(), + .Q(lcd_data_in_q[2])); +defparam \lcd_data_in_q[2] .mask = 16'hF0AA; +defparam \lcd_data_in_q[2] .mode = "logic"; +defparam \lcd_data_in_q[2] .modeMux = 1'b0; +defparam \lcd_data_in_q[2] .FeedbackMux = 1'b1; +defparam \lcd_data_in_q[2] .ShiftMux = 1'b0; +defparam \lcd_data_in_q[2] .BypassEn = 1'b1; +defparam \lcd_data_in_q[2] .CarryEnb = 1'b1; +defparam \lcd_data_in_q[2] .AsyncResetMux = 2'b00; +defparam \lcd_data_in_q[2] .SyncResetMux = 2'b00; +defparam \lcd_data_in_q[2] .SyncLoadMux = 2'b01; + +defparam \lcd_data_in_q[2] .coord_x = 1; +defparam \lcd_data_in_q[2] .coord_y = 24; +defparam \lcd_data_in_q[2] .coord_z = 15; +// Location: CLKENCTRL_X1_Y24_N0 +alta_clkenctrl clken_ctrl_X1_Y24_N0(.ClkIn(\MCU_LCD_RDX~inputclkctrl_outclk ), .ClkEn(), .ClkOut(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y24_SIG_VCC )); +defparam clken_ctrl_X1_Y24_N0.ClkMux = 2'b10; +defparam clken_ctrl_X1_Y24_N0.ClkEnMux = 2'b01; + +defparam clken_ctrl_X1_Y24_N0.coord_x = 1; +defparam clken_ctrl_X1_Y24_N0.coord_y = 24; +defparam clken_ctrl_X1_Y24_N0.coord_z = 0; +// Location: ASYNCCTRL_X1_Y24_N0 +alta_asyncctrl asyncreset_ctrl_X1_Y24_N0(.Din(), .Dout(AsyncReset_X1_Y24_GND)); +defparam asyncreset_ctrl_X1_Y24_N0.AsyncCtrlMux = 2'b00; + +defparam asyncreset_ctrl_X1_Y24_N0.coord_x = 1; +defparam asyncreset_ctrl_X1_Y24_N0.coord_y = 24; +defparam asyncreset_ctrl_X1_Y24_N0.coord_z = 0; +// Location: SYNCCTRL_X1_Y24_N0 +alta_syncctrl syncreset_ctrl_X1_Y24(.Din(), .Dout(SyncReset_X1_Y24_GND)); +defparam syncreset_ctrl_X1_Y24.SyncCtrlMux = 2'b00; + +defparam syncreset_ctrl_X1_Y24.coord_x = 1; +defparam syncreset_ctrl_X1_Y24.coord_y = 24; +defparam syncreset_ctrl_X1_Y24.coord_z = 0; +// Location: SYNCCTRL_X1_Y24_N1 +alta_syncctrl syncload_ctrl_X1_Y24(.Din(), .Dout(SyncLoad_X1_Y24_VCC)); +defparam syncload_ctrl_X1_Y24.SyncCtrlMux = 2'b01; +defparam syncload_ctrl_X1_Y24.coord_x = 1; +defparam syncload_ctrl_X1_Y24.coord_y = 24; +defparam syncload_ctrl_X1_Y24.coord_z = 1; +// Location: FF_X1_Y26_N10 +// alta_lcell_ff \lcd_data_in_q[4] ( +// Location: LCCOMB_X1_Y26_N10 +// alta_lcell_comb \mcu_data_out[4]~8 ( +alta_slice \lcd_data_in_q[4] ( + .A(\MCU_LCD_RDX~input_o ), + .B(\LCD_DB[12]~input_o ), + .C(\LCD_DB[4]~input_o ), + .D(vcc), + .Cin(), + .Qin(lcd_data_in_q[4]), + .Clk(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y26_SIG_VCC ), + .AsyncReset(AsyncReset_X1_Y26_GND), + .SyncReset(SyncReset_X1_Y26_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y26_VCC), + .LutOut(\mcu_data_out[4]~8_combout ), + .Cout(), + .Q(lcd_data_in_q[4])); +defparam \lcd_data_in_q[4] .mask = 16'hE4E4; +defparam \lcd_data_in_q[4] .mode = "logic"; +defparam \lcd_data_in_q[4] .modeMux = 1'b0; +defparam \lcd_data_in_q[4] .FeedbackMux = 1'b1; +defparam \lcd_data_in_q[4] .ShiftMux = 1'b0; +defparam \lcd_data_in_q[4] .BypassEn = 1'b1; +defparam \lcd_data_in_q[4] .CarryEnb = 1'b1; +defparam \lcd_data_in_q[4] .AsyncResetMux = 2'b00; +defparam \lcd_data_in_q[4] .SyncResetMux = 2'b00; +defparam \lcd_data_in_q[4] .SyncLoadMux = 2'b01; +defparam \lcd_data_in_q[4] .coord_x = 1; +defparam \lcd_data_in_q[4] .coord_y = 26; +defparam \lcd_data_in_q[4] .coord_z = 5; +// Location: FF_X1_Y26_N12 +// alta_lcell_ff \lcd_data_out_q[4] ( +// Location: LCCOMB_X1_Y26_N12 +// alta_lcell_comb \lcd_data_out_q[4]~feeder ( +alta_slice \lcd_data_out_q[4] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[4]~input_o ), + .Cin(), + .Qin(lcd_data_out_q[4]), + .Clk(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y26_INV_VCC ), + .AsyncReset(AsyncReset_X1_Y26_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\lcd_data_out_q[4]~feeder_combout ), + .Cout(), + .Q(lcd_data_out_q[4])); +defparam \lcd_data_out_q[4] .mask = 16'hFF00; +defparam \lcd_data_out_q[4] .mode = "logic"; +defparam \lcd_data_out_q[4] .modeMux = 1'b0; +defparam \lcd_data_out_q[4] .FeedbackMux = 1'b0; +defparam \lcd_data_out_q[4] .ShiftMux = 1'b0; +defparam \lcd_data_out_q[4] .BypassEn = 1'b0; +defparam \lcd_data_out_q[4] .CarryEnb = 1'b1; +defparam \lcd_data_out_q[4] .AsyncResetMux = 2'b00; +defparam \lcd_data_out_q[4] .SyncResetMux = 2'bxx; +defparam \lcd_data_out_q[4] .SyncLoadMux = 2'bxx; +defparam \lcd_data_out_q[4] .coord_x = 1; +defparam \lcd_data_out_q[4] .coord_y = 26; +defparam \lcd_data_out_q[4] .coord_z = 6; +// Location: FF_X1_Y26_N14 +// alta_lcell_ff \lcd_data_out_q[3] ( +alta_slice \lcd_data_out_q[3] ( + .A(), + .B(), + .C(\MCU_D[3]~input_o ), + .D(), + .Cin(), + .Qin(lcd_data_out_q[3]), + .Clk(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y26_INV_VCC ), + .AsyncReset(AsyncReset_X1_Y26_GND), + .SyncReset(SyncReset_X1_Y26_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y26_VCC), + .LutOut(), + .Cout(), + .Q(lcd_data_out_q[3])); +defparam \lcd_data_out_q[3] .mask = 16'hFFFF; +defparam \lcd_data_out_q[3] .mode = "ripple"; +defparam \lcd_data_out_q[3] .modeMux = 1'b1; +defparam \lcd_data_out_q[3] .FeedbackMux = 1'b0; +defparam \lcd_data_out_q[3] .ShiftMux = 1'b0; +defparam \lcd_data_out_q[3] .BypassEn = 1'b1; +defparam \lcd_data_out_q[3] .CarryEnb = 1'b1; +defparam \lcd_data_out_q[3] .AsyncResetMux = 2'b00; +defparam \lcd_data_out_q[3] .SyncResetMux = 2'b00; +defparam \lcd_data_out_q[3] .SyncLoadMux = 2'b01; +defparam \lcd_data_out_q[3] .coord_x = 1; +defparam \lcd_data_out_q[3] .coord_y = 26; +defparam \lcd_data_out_q[3] .coord_z = 7; +// Location: FF_X1_Y26_N4 +// alta_lcell_ff \lcd_data_out_q[5] ( +alta_slice \lcd_data_out_q[5] ( + .A(), + .B(), + .C(\MCU_D[5]~input_o ), + .D(), + .Cin(), + .Qin(lcd_data_out_q[5]), + .Clk(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y26_INV_VCC ), + .AsyncReset(AsyncReset_X1_Y26_GND), + .SyncReset(SyncReset_X1_Y26_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y26_VCC), + .LutOut(), + .Cout(), + .Q(lcd_data_out_q[5])); +defparam \lcd_data_out_q[5] .mask = 16'hFFFF; +defparam \lcd_data_out_q[5] .mode = "ripple"; +defparam \lcd_data_out_q[5] .modeMux = 1'b1; +defparam \lcd_data_out_q[5] .FeedbackMux = 1'b0; +defparam \lcd_data_out_q[5] .ShiftMux = 1'b0; +defparam \lcd_data_out_q[5] .BypassEn = 1'b1; +defparam \lcd_data_out_q[5] .CarryEnb = 1'b1; +defparam \lcd_data_out_q[5] .AsyncResetMux = 2'b00; +defparam \lcd_data_out_q[5] .SyncResetMux = 2'b00; +defparam \lcd_data_out_q[5] .SyncLoadMux = 2'b01; +defparam \lcd_data_out_q[5] .coord_x = 1; +defparam \lcd_data_out_q[5] .coord_y = 26; +defparam \lcd_data_out_q[5] .coord_z = 2; +// Location: FF_X1_Y26_N6 +// alta_lcell_ff \lcd_data_in_q[3] ( +// Location: LCCOMB_X1_Y26_N6 +// alta_lcell_comb \mcu_data_out[3]~6 ( +alta_slice \lcd_data_in_q[3] ( + .A(\MCU_LCD_RDX~input_o ), + .B(\LCD_DB[11]~input_o ), + .C(\LCD_DB[3]~input_o ), + .D(vcc), + .Cin(), + .Qin(lcd_data_in_q[3]), + .Clk(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y26_SIG_VCC ), + .AsyncReset(AsyncReset_X1_Y26_GND), + .SyncReset(SyncReset_X1_Y26_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y26_VCC), + .LutOut(\mcu_data_out[3]~6_combout ), + .Cout(), + .Q(lcd_data_in_q[3])); +defparam \lcd_data_in_q[3] .mask = 16'hE4E4; +defparam \lcd_data_in_q[3] .mode = "logic"; +defparam \lcd_data_in_q[3] .modeMux = 1'b0; +defparam \lcd_data_in_q[3] .FeedbackMux = 1'b1; +defparam \lcd_data_in_q[3] .ShiftMux = 1'b0; +defparam \lcd_data_in_q[3] .BypassEn = 1'b1; +defparam \lcd_data_in_q[3] .CarryEnb = 1'b1; +defparam \lcd_data_in_q[3] .AsyncResetMux = 2'b00; +defparam \lcd_data_in_q[3] .SyncResetMux = 2'b00; +defparam \lcd_data_in_q[3] .SyncLoadMux = 2'b01; +defparam \lcd_data_in_q[3] .coord_x = 1; +defparam \lcd_data_in_q[3] .coord_y = 26; +defparam \lcd_data_in_q[3] .coord_z = 3; +// Location: FF_X1_Y26_N8 +// alta_lcell_ff \lcd_data_in_q[5] ( +// Location: LCCOMB_X1_Y26_N8 +// alta_lcell_comb \mcu_data_out[5]~10 ( +alta_slice \lcd_data_in_q[5] ( + .A(\MCU_LCD_RDX~input_o ), + .B(vcc), + .C(\LCD_DB[5]~input_o ), + .D(\LCD_DB[13]~input_o ), + .Cin(), + .Qin(lcd_data_in_q[5]), + .Clk(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y26_SIG_VCC ), + .AsyncReset(AsyncReset_X1_Y26_GND), + .SyncReset(SyncReset_X1_Y26_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y26_VCC), + .LutOut(\mcu_data_out[5]~10_combout ), + .Cout(), + .Q(lcd_data_in_q[5])); +defparam \lcd_data_in_q[5] .mask = 16'hF5A0; +defparam \lcd_data_in_q[5] .mode = "logic"; +defparam \lcd_data_in_q[5] .modeMux = 1'b0; +defparam \lcd_data_in_q[5] .FeedbackMux = 1'b1; +defparam \lcd_data_in_q[5] .ShiftMux = 1'b0; +defparam \lcd_data_in_q[5] .BypassEn = 1'b1; +defparam \lcd_data_in_q[5] .CarryEnb = 1'b1; +defparam \lcd_data_in_q[5] .AsyncResetMux = 2'b00; +defparam \lcd_data_in_q[5] .SyncResetMux = 2'b00; +defparam \lcd_data_in_q[5] .SyncLoadMux = 2'b01; + +defparam \lcd_data_in_q[5] .coord_x = 1; +defparam \lcd_data_in_q[5] .coord_y = 26; +defparam \lcd_data_in_q[5] .coord_z = 4; +// Location: CLKENCTRL_X1_Y26_N0 +alta_clkenctrl clken_ctrl_X1_Y26_N0(.ClkIn(\MCU_LCD_RDX~inputclkctrl_outclk ), .ClkEn(), .ClkOut(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y26_SIG_VCC )); +defparam clken_ctrl_X1_Y26_N0.ClkMux = 2'b10; +defparam clken_ctrl_X1_Y26_N0.ClkEnMux = 2'b01; + +defparam clken_ctrl_X1_Y26_N0.coord_x = 1; +defparam clken_ctrl_X1_Y26_N0.coord_y = 26; +defparam clken_ctrl_X1_Y26_N0.coord_z = 0; +// Location: ASYNCCTRL_X1_Y26_N0 +alta_asyncctrl asyncreset_ctrl_X1_Y26_N0(.Din(), .Dout(AsyncReset_X1_Y26_GND)); +defparam asyncreset_ctrl_X1_Y26_N0.AsyncCtrlMux = 2'b00; + +defparam asyncreset_ctrl_X1_Y26_N0.coord_x = 1; +defparam asyncreset_ctrl_X1_Y26_N0.coord_y = 26; +defparam asyncreset_ctrl_X1_Y26_N0.coord_z = 0; +// Location: CLKENCTRL_X1_Y26_N1 +alta_clkenctrl clken_ctrl_X1_Y26_N1(.ClkIn(\MCU_LCD_WRX~inputclkctrl_outclk ), .ClkEn(), .ClkOut(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y26_INV_VCC )); +defparam clken_ctrl_X1_Y26_N1.ClkMux = 2'b11; +defparam clken_ctrl_X1_Y26_N1.ClkEnMux = 2'b01; + +defparam clken_ctrl_X1_Y26_N1.coord_x = 1; +defparam clken_ctrl_X1_Y26_N1.coord_y = 26; +defparam clken_ctrl_X1_Y26_N1.coord_z = 1; +// Location: SYNCCTRL_X1_Y26_N0 +alta_syncctrl syncreset_ctrl_X1_Y26(.Din(), .Dout(SyncReset_X1_Y26_GND)); +defparam syncreset_ctrl_X1_Y26.SyncCtrlMux = 2'b00; + +defparam syncreset_ctrl_X1_Y26.coord_x = 1; +defparam syncreset_ctrl_X1_Y26.coord_y = 26; +defparam syncreset_ctrl_X1_Y26.coord_z = 0; +// Location: SYNCCTRL_X1_Y26_N1 +alta_syncctrl syncload_ctrl_X1_Y26(.Din(), .Dout(SyncLoad_X1_Y26_VCC)); +defparam syncload_ctrl_X1_Y26.SyncCtrlMux = 2'b01; +defparam syncload_ctrl_X1_Y26.coord_x = 1; +defparam syncload_ctrl_X1_Y26.coord_y = 26; +defparam syncload_ctrl_X1_Y26.coord_z = 1; +endmodule + diff --git a/hardware/portapack_h4m/CPLD/Supra/alta_db/flatten.vx b/hardware/portapack_h4m/CPLD/Supra/alta_db/flatten.vx new file mode 100644 index 00000000..3b7eac7d --- /dev/null +++ b/hardware/portapack_h4m/CPLD/Supra/alta_db/flatten.vx @@ -0,0 +1,1975 @@ +`timescale 1 ps/ 1 ps + +module top( + MCU_D, + MCU_DIR, + MCU_IO_STBX, + MCU_LCD_WRX, + MCU_ADDR, + MCU_LCD_TE, + MCU_P2_8, + MCU_LCD_RDX, + TP_U, + TP_D, + TP_L, + TP_R, + SW_SEL, + SW_ROT_A, + SW_ROT_B, + SW_U, + SW_D, + SW_L, + SW_R, + LCD_RESETX, + LCD_RS, + LCD_WRX, + LCD_RDX, + LCD_DB, + LCD_TE, + LCD_BACKLIGHT, + SYSOFF, + AUDIO_RESETX, + REF_EN, + GPS_RESETX, + GPS_TX_READY, + GPS_TIMEPULSE, + DEVICE_RESET, + DEVICE_RESET_V); +output [7:0] MCU_D; +input MCU_DIR; +input MCU_IO_STBX; +input MCU_LCD_WRX; +input MCU_ADDR; +output MCU_LCD_TE; +input MCU_P2_8; +input MCU_LCD_RDX; +output TP_U; +output TP_D; +output TP_L; +output TP_R; +input SW_SEL; +input SW_ROT_A; +input SW_ROT_B; +input SW_U; +input SW_D; +input SW_L; +input SW_R; +output LCD_RESETX; +output LCD_RS; +output LCD_WRX; +output LCD_RDX; +output [15:0] LCD_DB; +input LCD_TE; +output LCD_BACKLIGHT; +output SYSOFF; +output AUDIO_RESETX; +output REF_EN; +output GPS_RESETX; +input GPS_TX_READY; +input GPS_TIMEPULSE; +input DEVICE_RESET; +input DEVICE_RESET_V; + +// module hard_block +// Design Ports Information +// ~ALTERA_ASDO_DATA1~ => Location: PIN_F4, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// ~ALTERA_FLASH_nCE_nCSO~ => Location: PIN_E2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// ~ALTERA_DCLK~ => Location: PIN_P3, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// ~ALTERA_DATA0~ => Location: PIN_N7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// ~ALTERA_nCEO~ => Location: PIN_P28, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA + +// module top +// Design Ports Information +// MCU_LCD_TE => Location: PIN_AC4, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// MCU_P2_8 => Location: PIN_D6, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// TP_U => Location: PIN_AA4, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// TP_D => Location: PIN_AB3, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// TP_L => Location: PIN_AA3, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// TP_R => Location: PIN_AD1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_RESETX => Location: PIN_AB4, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_RS => Location: PIN_AF2, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_WRX => Location: PIN_M1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_RDX => Location: PIN_R6, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_BACKLIGHT => Location: PIN_W3, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// SYSOFF => Location: PIN_AE2, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// AUDIO_RESETX => Location: PIN_AE1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// REF_EN => Location: PIN_AC5, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// GPS_RESETX => Location: PIN_AC26, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// GPS_TX_READY => Location: PIN_D9, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// GPS_TIMEPULSE => Location: PIN_E25, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// DEVICE_RESET => Location: PIN_AF15, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// DEVICE_RESET_V => Location: PIN_AE25, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// MCU_D[0] => Location: PIN_AC1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// MCU_D[1] => Location: PIN_AC3, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// MCU_D[2] => Location: PIN_AD3, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// MCU_D[3] => Location: PIN_V3, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// MCU_D[4] => Location: PIN_V2, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// MCU_D[5] => Location: PIN_V1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// MCU_D[6] => Location: PIN_Y3, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// MCU_D[7] => Location: PIN_AC2, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[0] => Location: PIN_U5, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[1] => Location: PIN_AB1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[2] => Location: PIN_U2, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[3] => Location: PIN_T3, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[4] => Location: PIN_R5, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[5] => Location: PIN_T4, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[6] => Location: PIN_Y4, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[7] => Location: PIN_AB2, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[8] => Location: PIN_U6, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[9] => Location: PIN_U1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[10] => Location: PIN_V4, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[11] => Location: PIN_R2, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[12] => Location: PIN_R1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[13] => Location: PIN_R4, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[14] => Location: PIN_W2, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[15] => Location: PIN_W1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_TE => Location: PIN_AB6, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// MCU_ADDR => Location: PIN_AB5, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// MCU_LCD_WRX => Location: PIN_Y1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// MCU_LCD_RDX => Location: PIN_Y2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// MCU_IO_STBX => Location: PIN_J1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// MCU_DIR => Location: PIN_AD2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// SW_R => Location: PIN_U8, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// SW_L => Location: PIN_U7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// SW_D => Location: PIN_T7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// SW_U => Location: PIN_R3, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// SW_SEL => Location: PIN_U3, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// SW_ROT_A => Location: PIN_U4, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// SW_ROT_B => Location: PIN_T8, I/O Standard: 3.3-V LVTTL, Current Strength: Default + +//wire gnd; +//wire gnd; +//wire vcc; +//wire vcc; +//wire \AUDIO_RESETX~output_o ; +wire \DEVICE_RESET_V~input_o ; +wire \DEVICE_RESET~input_o ; +//wire \GPS_RESETX~output_o ; +wire \GPS_TIMEPULSE~input_o ; +wire \GPS_TX_READY~input_o ; +//wire \LCD_BACKLIGHT~output_o ; +//wire \LCD_DB[0]~output_o ; +wire \LCD_DB[0]~input_o ; +//wire \LCD_DB[10]~output_o ; +wire \LCD_DB[10]~input_o ; +//wire \LCD_DB[11]~output_o ; +wire \LCD_DB[11]~input_o ; +//wire \LCD_DB[12]~output_o ; +wire \LCD_DB[12]~input_o ; +//wire \LCD_DB[13]~output_o ; +wire \LCD_DB[13]~input_o ; +//wire \LCD_DB[14]~output_o ; +wire \LCD_DB[14]~input_o ; +//wire \LCD_DB[15]~output_o ; +wire \LCD_DB[15]~input_o ; +//wire \LCD_DB[1]~output_o ; +wire \LCD_DB[1]~input_o ; +//wire \LCD_DB[2]~output_o ; +wire \LCD_DB[2]~input_o ; +//wire \LCD_DB[3]~output_o ; +wire \LCD_DB[3]~input_o ; +//wire \LCD_DB[4]~output_o ; +wire \LCD_DB[4]~input_o ; +//wire \LCD_DB[5]~output_o ; +wire \LCD_DB[5]~input_o ; +//wire \LCD_DB[6]~output_o ; +wire \LCD_DB[6]~input_o ; +//wire \LCD_DB[7]~output_o ; +wire \LCD_DB[7]~input_o ; +//wire \LCD_DB[8]~output_o ; +wire \LCD_DB[8]~input_o ; +//wire \LCD_DB[9]~output_o ; +wire \LCD_DB[9]~input_o ; +//wire \LCD_RDX~output_o ; +//wire \LCD_RESETX~output_o ; +//wire \LCD_RS~output_o ; +wire \LCD_TE~input_o ; +//wire \LCD_WRX~output_o ; +wire \MCU_ADDR~input_o ; +wire \MCU_DIR~input_o ; +//wire \MCU_D[0]~output_o ; +wire \MCU_D[0]~input_o ; +//wire \MCU_D[1]~output_o ; +wire \MCU_D[1]~input_o ; +//wire \MCU_D[2]~output_o ; +wire \MCU_D[2]~input_o ; +//wire \MCU_D[3]~output_o ; +wire \MCU_D[3]~input_o ; +//wire \MCU_D[4]~output_o ; +wire \MCU_D[4]~input_o ; +//wire \MCU_D[5]~output_o ; +wire \MCU_D[5]~input_o ; +//wire \MCU_D[6]~output_o ; +wire \MCU_D[6]~input_o ; +//wire \MCU_D[7]~output_o ; +wire \MCU_D[7]~input_o ; +wire \MCU_IO_STBX~input_o ; +wire \MCU_IO_STBX~inputclkctrl_outclk ; +wire \MCU_LCD_RDX~input_o ; +wire \MCU_LCD_RDX~inputclkctrl_outclk ; +//wire \MCU_LCD_TE~output_o ; +wire \MCU_LCD_WRX~input_o ; +wire \MCU_LCD_WRX~inputclkctrl_outclk ; +wire \MCU_P2_8~input_o ; +//wire \REF_EN~output_o ; +wire \SW_D~input_o ; +wire \SW_L~input_o ; +wire \SW_ROT_A~input_o ; +wire \SW_ROT_B~input_o ; +wire \SW_R~input_o ; +wire \SW_SEL~input_o ; +wire \SW_U~input_o ; +//wire \SYSOFF~output_o ; +//wire \TP_D~output_o ; +//wire \TP_L~output_o ; +//wire \TP_R~output_o ; +//wire \TP_U~output_o ; +wire \audio_reset_q~0_combout ; +wire \audio_reset_q~q ; +//wire devclrn; +tri1 devclrn; +//wire devoe; +tri1 devoe; +//wire devpor; +tri1 devpor; +wire \lcd_backlight_q~feeder_combout ; +wire \lcd_backlight_q~q ; +wire [7:0] lcd_data_in_q; +//wire lcd_data_in_q[0]; +//wire lcd_data_in_q[1]; +//wire lcd_data_in_q[2]; +//wire lcd_data_in_q[3]; +//wire lcd_data_in_q[4]; +//wire lcd_data_in_q[5]; +//wire lcd_data_in_q[6]; +//wire lcd_data_in_q[7]; +wire [7:0] lcd_data_out_q; +//wire lcd_data_out_q[0]; +wire \lcd_data_out_q[0]~feeder_combout ; +//wire lcd_data_out_q[1]; +wire \lcd_data_out_q[1]~feeder_combout ; +//wire lcd_data_out_q[2]; +wire \lcd_data_out_q[2]~feeder_combout ; +//wire lcd_data_out_q[3]; +//wire lcd_data_out_q[4]; +wire \lcd_data_out_q[4]~feeder_combout ; +//wire lcd_data_out_q[5]; +//wire lcd_data_out_q[6]; +//wire lcd_data_out_q[7]; +wire \lcd_data_out_q[7]~feeder_combout ; +wire \lcd_reset_q~0_combout ; +wire \lcd_reset_q~1_combout ; +wire \lcd_reset_q~q ; +wire \mcu_data_out[0]~0_combout ; +wire \mcu_data_out[0]~1_combout ; +wire \mcu_data_out[1]~2_combout ; +wire \mcu_data_out[1]~3_combout ; +wire \mcu_data_out[2]~4_combout ; +wire \mcu_data_out[2]~5_combout ; +wire \mcu_data_out[3]~6_combout ; +wire \mcu_data_out[3]~7_combout ; +wire \mcu_data_out[4]~8_combout ; +wire \mcu_data_out[4]~9_combout ; +wire \mcu_data_out[5]~10_combout ; +wire \mcu_data_out[5]~11_combout ; +wire \mcu_data_out[6]~12_combout ; +wire \mcu_data_out[6]~13_combout ; +wire \mcu_data_out[7]~14_combout ; +wire \mcu_data_out[7]~15_combout ; +wire \ref_en_q~feeder_combout ; +wire \ref_en_q~q ; +wire \sysoff_q~feeder_combout ; +wire \sysoff_q~q ; +wire [7:0] tp_q; +//wire tp_q[0]; +//wire tp_q[1]; +//wire tp_q[2]; +wire \tp_q[2]~feeder_combout ; +//wire tp_q[3]; +wire \tp_q[3]~0_combout ; +//wire tp_q[4]; +wire \tp_q[4]~feeder_combout ; +//wire tp_q[5]; +//wire tp_q[6]; +wire \tp_q[6]~feeder_combout ; +//wire tp_q[7]; +wire \tp_q[7]~feeder_combout ; +wire unknown; +wire unknown; +wire \~ALTERA_ASDO_DATA1~~ibuf_o ; +wire \~ALTERA_ASDO_DATA1~~padout ; +wire \~ALTERA_DATA0~~ibuf_o ; +wire \~ALTERA_DATA0~~padout ; +wire \~ALTERA_FLASH_nCE_nCSO~~ibuf_o ; +wire \~ALTERA_FLASH_nCE_nCSO~~padout ; + +wire vcc; +wire gnd; +assign vcc = 1'b1; +assign gnd = 1'b0; + +// Location: IOOBUF_X0_Y9_N16 +cycloneive_io_obuf \AUDIO_RESETX~output ( + .i(\audio_reset_q~q ), + .oe(vcc), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(AUDIO_RESETX), + .obar()); +defparam \AUDIO_RESETX~output .bus_hold = "false"; +defparam \AUDIO_RESETX~output .open_drain_output = "false"; + +// Location: IOIBUF_X78_Y0_N8 +cycloneive_io_ibuf \DEVICE_RESET_V~input ( + .i(DEVICE_RESET_V), + .ibar(gnd), + .o(\DEVICE_RESET_V~input_o )); +defparam \DEVICE_RESET_V~input .bus_hold = "false"; +defparam \DEVICE_RESET_V~input .simulate_z_as = "z"; + +// Location: IOIBUF_X51_Y0_N1 +cycloneive_io_ibuf \DEVICE_RESET~input ( + .i(DEVICE_RESET), + .ibar(gnd), + .o(\DEVICE_RESET~input_o )); +defparam \DEVICE_RESET~input .bus_hold = "false"; +defparam \DEVICE_RESET~input .simulate_z_as = "z"; + +// Location: IOOBUF_X94_Y9_N16 +cycloneive_io_obuf \GPS_RESETX~output ( + .i(vcc), + .oe(vcc), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(GPS_RESETX), + .obar()); +defparam \GPS_RESETX~output .bus_hold = "false"; +defparam \GPS_RESETX~output .open_drain_output = "false"; + +// Location: IOIBUF_X56_Y62_N1 +cycloneive_io_ibuf \GPS_TIMEPULSE~input ( + .i(GPS_TIMEPULSE), + .ibar(gnd), + .o(\GPS_TIMEPULSE~input_o )); +defparam \GPS_TIMEPULSE~input .bus_hold = "false"; +defparam \GPS_TIMEPULSE~input .simulate_z_as = "z"; + +// Location: IOIBUF_X23_Y62_N22 +cycloneive_io_ibuf \GPS_TX_READY~input ( + .i(GPS_TX_READY), + .ibar(gnd), + .o(\GPS_TX_READY~input_o )); +defparam \GPS_TX_READY~input .bus_hold = "false"; +defparam \GPS_TX_READY~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y8_N23 +cycloneive_io_obuf \LCD_BACKLIGHT~output ( + .i(\lcd_backlight_q~q ), + .oe(vcc), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(LCD_BACKLIGHT), + .obar()); +defparam \LCD_BACKLIGHT~output .bus_hold = "false"; +defparam \LCD_BACKLIGHT~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y19_N15 +cycloneive_io_ibuf \LCD_DB[0]~input ( + .i(LCD_DB[0]), + .ibar(gnd), + .o(\LCD_DB[0]~input_o )); +defparam \LCD_DB[0]~input .bus_hold = "false"; +defparam \LCD_DB[0]~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y19_N16 +cycloneive_io_obuf \LCD_DB[0]~output ( + .i(\MCU_D[0]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(LCD_DB[0]), + .obar()); +defparam \LCD_DB[0]~output .bus_hold = "false"; +defparam \LCD_DB[0]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y24_N22 +cycloneive_io_ibuf \LCD_DB[10]~input ( + .i(LCD_DB[10]), + .ibar(gnd), + .o(\LCD_DB[10]~input_o )); +defparam \LCD_DB[10]~input .bus_hold = "false"; +defparam \LCD_DB[10]~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y24_N23 +cycloneive_io_obuf \LCD_DB[10]~output ( + .i(lcd_data_out_q[2]), + .oe(\MCU_LCD_RDX~input_o ), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(LCD_DB[10]), + .obar()); +defparam \LCD_DB[10]~output .bus_hold = "false"; +defparam \LCD_DB[10]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y29_N1 +cycloneive_io_ibuf \LCD_DB[11]~input ( + .i(LCD_DB[11]), + .ibar(gnd), + .o(\LCD_DB[11]~input_o )); +defparam \LCD_DB[11]~input .bus_hold = "false"; +defparam \LCD_DB[11]~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y29_N2 +cycloneive_io_obuf \LCD_DB[11]~output ( + .i(lcd_data_out_q[3]), + .oe(\MCU_LCD_RDX~input_o ), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(LCD_DB[11]), + .obar()); +defparam \LCD_DB[11]~output .bus_hold = "false"; +defparam \LCD_DB[11]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y29_N8 +cycloneive_io_ibuf \LCD_DB[12]~input ( + .i(LCD_DB[12]), + .ibar(gnd), + .o(\LCD_DB[12]~input_o )); +defparam \LCD_DB[12]~input .bus_hold = "false"; +defparam \LCD_DB[12]~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y29_N9 +cycloneive_io_obuf \LCD_DB[12]~output ( + .i(lcd_data_out_q[4]), + .oe(\MCU_LCD_RDX~input_o ), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(LCD_DB[12]), + .obar()); +defparam \LCD_DB[12]~output .bus_hold = "false"; +defparam \LCD_DB[12]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y26_N1 +cycloneive_io_ibuf \LCD_DB[13]~input ( + .i(LCD_DB[13]), + .ibar(gnd), + .o(\LCD_DB[13]~input_o )); +defparam \LCD_DB[13]~input .bus_hold = "false"; +defparam \LCD_DB[13]~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y26_N2 +cycloneive_io_obuf \LCD_DB[13]~output ( + .i(lcd_data_out_q[5]), + .oe(\MCU_LCD_RDX~input_o ), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(LCD_DB[13]), + .obar()); +defparam \LCD_DB[13]~output .bus_hold = "false"; +defparam \LCD_DB[13]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y20_N1 +cycloneive_io_ibuf \LCD_DB[14]~input ( + .i(LCD_DB[14]), + .ibar(gnd), + .o(\LCD_DB[14]~input_o )); +defparam \LCD_DB[14]~input .bus_hold = "false"; +defparam \LCD_DB[14]~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y20_N2 +cycloneive_io_obuf \LCD_DB[14]~output ( + .i(lcd_data_out_q[6]), + .oe(\MCU_LCD_RDX~input_o ), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(LCD_DB[14]), + .obar()); +defparam \LCD_DB[14]~output .bus_hold = "false"; +defparam \LCD_DB[14]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y20_N8 +cycloneive_io_ibuf \LCD_DB[15]~input ( + .i(LCD_DB[15]), + .ibar(gnd), + .o(\LCD_DB[15]~input_o )); +defparam \LCD_DB[15]~input .bus_hold = "false"; +defparam \LCD_DB[15]~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y20_N9 +cycloneive_io_obuf \LCD_DB[15]~output ( + .i(lcd_data_out_q[7]), + .oe(\MCU_LCD_RDX~input_o ), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(LCD_DB[15]), + .obar()); +defparam \LCD_DB[15]~output .bus_hold = "false"; +defparam \LCD_DB[15]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y21_N8 +cycloneive_io_ibuf \LCD_DB[1]~input ( + .i(LCD_DB[1]), + .ibar(gnd), + .o(\LCD_DB[1]~input_o )); +defparam \LCD_DB[1]~input .bus_hold = "false"; +defparam \LCD_DB[1]~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y21_N9 +cycloneive_io_obuf \LCD_DB[1]~output ( + .i(\MCU_D[1]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(LCD_DB[1]), + .obar()); +defparam \LCD_DB[1]~output .bus_hold = "false"; +defparam \LCD_DB[1]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y24_N1 +cycloneive_io_ibuf \LCD_DB[2]~input ( + .i(LCD_DB[2]), + .ibar(gnd), + .o(\LCD_DB[2]~input_o )); +defparam \LCD_DB[2]~input .bus_hold = "false"; +defparam \LCD_DB[2]~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y24_N2 +cycloneive_io_obuf \LCD_DB[2]~output ( + .i(\MCU_D[2]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(LCD_DB[2]), + .obar()); +defparam \LCD_DB[2]~output .bus_hold = "false"; +defparam \LCD_DB[2]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y26_N15 +cycloneive_io_ibuf \LCD_DB[3]~input ( + .i(LCD_DB[3]), + .ibar(gnd), + .o(\LCD_DB[3]~input_o )); +defparam \LCD_DB[3]~input .bus_hold = "false"; +defparam \LCD_DB[3]~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y26_N16 +cycloneive_io_obuf \LCD_DB[3]~output ( + .i(\MCU_D[3]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(LCD_DB[3]), + .obar()); +defparam \LCD_DB[3]~output .bus_hold = "false"; +defparam \LCD_DB[3]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y26_N22 +cycloneive_io_ibuf \LCD_DB[4]~input ( + .i(LCD_DB[4]), + .ibar(gnd), + .o(\LCD_DB[4]~input_o )); +defparam \LCD_DB[4]~input .bus_hold = "false"; +defparam \LCD_DB[4]~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y26_N23 +cycloneive_io_obuf \LCD_DB[4]~output ( + .i(\MCU_D[4]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(LCD_DB[4]), + .obar()); +defparam \LCD_DB[4]~output .bus_hold = "false"; +defparam \LCD_DB[4]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y26_N8 +cycloneive_io_ibuf \LCD_DB[5]~input ( + .i(LCD_DB[5]), + .ibar(gnd), + .o(\LCD_DB[5]~input_o )); +defparam \LCD_DB[5]~input .bus_hold = "false"; +defparam \LCD_DB[5]~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y26_N9 +cycloneive_io_obuf \LCD_DB[5]~output ( + .i(\MCU_D[5]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(LCD_DB[5]), + .obar()); +defparam \LCD_DB[5]~output .bus_hold = "false"; +defparam \LCD_DB[5]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y19_N22 +cycloneive_io_ibuf \LCD_DB[6]~input ( + .i(LCD_DB[6]), + .ibar(gnd), + .o(\LCD_DB[6]~input_o )); +defparam \LCD_DB[6]~input .bus_hold = "false"; +defparam \LCD_DB[6]~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y19_N23 +cycloneive_io_obuf \LCD_DB[6]~output ( + .i(\MCU_D[6]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(LCD_DB[6]), + .obar()); +defparam \LCD_DB[6]~output .bus_hold = "false"; +defparam \LCD_DB[6]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y21_N1 +cycloneive_io_ibuf \LCD_DB[7]~input ( + .i(LCD_DB[7]), + .ibar(gnd), + .o(\LCD_DB[7]~input_o )); +defparam \LCD_DB[7]~input .bus_hold = "false"; +defparam \LCD_DB[7]~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y21_N2 +cycloneive_io_obuf \LCD_DB[7]~output ( + .i(\MCU_D[7]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(LCD_DB[7]), + .obar()); +defparam \LCD_DB[7]~output .bus_hold = "false"; +defparam \LCD_DB[7]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y19_N1 +cycloneive_io_ibuf \LCD_DB[8]~input ( + .i(LCD_DB[8]), + .ibar(gnd), + .o(\LCD_DB[8]~input_o )); +defparam \LCD_DB[8]~input .bus_hold = "false"; +defparam \LCD_DB[8]~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y19_N2 +cycloneive_io_obuf \LCD_DB[8]~output ( + .i(lcd_data_out_q[0]), + .oe(\MCU_LCD_RDX~input_o ), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(LCD_DB[8]), + .obar()); +defparam \LCD_DB[8]~output .bus_hold = "false"; +defparam \LCD_DB[8]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y24_N8 +cycloneive_io_ibuf \LCD_DB[9]~input ( + .i(LCD_DB[9]), + .ibar(gnd), + .o(\LCD_DB[9]~input_o )); +defparam \LCD_DB[9]~input .bus_hold = "false"; +defparam \LCD_DB[9]~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y24_N9 +cycloneive_io_obuf \LCD_DB[9]~output ( + .i(lcd_data_out_q[1]), + .oe(\MCU_LCD_RDX~input_o ), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(LCD_DB[9]), + .obar()); +defparam \LCD_DB[9]~output .bus_hold = "false"; +defparam \LCD_DB[9]~output .open_drain_output = "false"; + +// Location: IOOBUF_X0_Y29_N16 +cycloneive_io_obuf \LCD_RDX~output ( + .i(\MCU_LCD_RDX~input_o ), + .oe(vcc), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(LCD_RDX), + .obar()); +defparam \LCD_RDX~output .bus_hold = "false"; +defparam \LCD_RDX~output .open_drain_output = "false"; + +// Location: IOOBUF_X0_Y7_N2 +cycloneive_io_obuf \LCD_RESETX~output ( + .i(\lcd_reset_q~q ), + .oe(vcc), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(LCD_RESETX), + .obar()); +defparam \LCD_RESETX~output .bus_hold = "false"; +defparam \LCD_RESETX~output .open_drain_output = "false"; + +// Location: IOOBUF_X0_Y4_N16 +cycloneive_io_obuf \LCD_RS~output ( + .i(\MCU_ADDR~input_o ), + .oe(vcc), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(LCD_RS), + .obar()); +defparam \LCD_RS~output .bus_hold = "false"; +defparam \LCD_RS~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y6_N22 +cycloneive_io_ibuf \LCD_TE~input ( + .i(LCD_TE), + .ibar(gnd), + .o(\LCD_TE~input_o )); +defparam \LCD_TE~input .bus_hold = "false"; +defparam \LCD_TE~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y37_N2 +cycloneive_io_obuf \LCD_WRX~output ( + .i(\MCU_LCD_WRX~input_o ), + .oe(vcc), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(LCD_WRX), + .obar()); +defparam \LCD_WRX~output .bus_hold = "false"; +defparam \LCD_WRX~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y4_N22 +cycloneive_io_ibuf \MCU_ADDR~input ( + .i(MCU_ADDR), + .ibar(gnd), + .o(\MCU_ADDR~input_o )); +defparam \MCU_ADDR~input .bus_hold = "false"; +defparam \MCU_ADDR~input .simulate_z_as = "z"; + +// Location: IOIBUF_X0_Y16_N22 +cycloneive_io_ibuf \MCU_DIR~input ( + .i(MCU_DIR), + .ibar(gnd), + .o(\MCU_DIR~input_o )); +defparam \MCU_DIR~input .bus_hold = "false"; +defparam \MCU_DIR~input .simulate_z_as = "z"; + +// Location: IOIBUF_X0_Y17_N8 +cycloneive_io_ibuf \MCU_D[0]~input ( + .i(MCU_D[0]), + .ibar(gnd), + .o(\MCU_D[0]~input_o )); +defparam \MCU_D[0]~input .bus_hold = "false"; +defparam \MCU_D[0]~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y17_N9 +cycloneive_io_obuf \MCU_D[0]~output ( + .i(\mcu_data_out[0]~1_combout ), + .oe(\MCU_DIR~input_o ), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(MCU_D[0]), + .obar()); +defparam \MCU_D[0]~output .bus_hold = "false"; +defparam \MCU_D[0]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y16_N8 +cycloneive_io_ibuf \MCU_D[1]~input ( + .i(MCU_D[1]), + .ibar(gnd), + .o(\MCU_D[1]~input_o )); +defparam \MCU_D[1]~input .bus_hold = "false"; +defparam \MCU_D[1]~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y16_N9 +cycloneive_io_obuf \MCU_D[1]~output ( + .i(\mcu_data_out[1]~3_combout ), + .oe(\MCU_DIR~input_o ), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(MCU_D[1]), + .obar()); +defparam \MCU_D[1]~output .bus_hold = "false"; +defparam \MCU_D[1]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y16_N15 +cycloneive_io_ibuf \MCU_D[2]~input ( + .i(MCU_D[2]), + .ibar(gnd), + .o(\MCU_D[2]~input_o )); +defparam \MCU_D[2]~input .bus_hold = "false"; +defparam \MCU_D[2]~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y16_N16 +cycloneive_io_obuf \MCU_D[2]~output ( + .i(\mcu_data_out[2]~5_combout ), + .oe(\MCU_DIR~input_o ), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(MCU_D[2]), + .obar()); +defparam \MCU_D[2]~output .bus_hold = "false"; +defparam \MCU_D[2]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y23_N1 +cycloneive_io_ibuf \MCU_D[3]~input ( + .i(MCU_D[3]), + .ibar(gnd), + .o(\MCU_D[3]~input_o )); +defparam \MCU_D[3]~input .bus_hold = "false"; +defparam \MCU_D[3]~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y23_N2 +cycloneive_io_obuf \MCU_D[3]~output ( + .i(\mcu_data_out[3]~7_combout ), + .oe(\MCU_DIR~input_o ), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(MCU_D[3]), + .obar()); +defparam \MCU_D[3]~output .bus_hold = "false"; +defparam \MCU_D[3]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y23_N8 +cycloneive_io_ibuf \MCU_D[4]~input ( + .i(MCU_D[4]), + .ibar(gnd), + .o(\MCU_D[4]~input_o )); +defparam \MCU_D[4]~input .bus_hold = "false"; +defparam \MCU_D[4]~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y23_N9 +cycloneive_io_obuf \MCU_D[4]~output ( + .i(\mcu_data_out[4]~9_combout ), + .oe(\MCU_DIR~input_o ), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(MCU_D[4]), + .obar()); +defparam \MCU_D[4]~output .bus_hold = "false"; +defparam \MCU_D[4]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y22_N8 +cycloneive_io_ibuf \MCU_D[5]~input ( + .i(MCU_D[5]), + .ibar(gnd), + .o(\MCU_D[5]~input_o )); +defparam \MCU_D[5]~input .bus_hold = "false"; +defparam \MCU_D[5]~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y22_N9 +cycloneive_io_obuf \MCU_D[5]~output ( + .i(\mcu_data_out[5]~11_combout ), + .oe(\MCU_DIR~input_o ), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(MCU_D[5]), + .obar()); +defparam \MCU_D[5]~output .bus_hold = "false"; +defparam \MCU_D[5]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y18_N15 +cycloneive_io_ibuf \MCU_D[6]~input ( + .i(MCU_D[6]), + .ibar(gnd), + .o(\MCU_D[6]~input_o )); +defparam \MCU_D[6]~input .bus_hold = "false"; +defparam \MCU_D[6]~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y18_N16 +cycloneive_io_obuf \MCU_D[6]~output ( + .i(\mcu_data_out[6]~13_combout ), + .oe(\MCU_DIR~input_o ), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(MCU_D[6]), + .obar()); +defparam \MCU_D[6]~output .bus_hold = "false"; +defparam \MCU_D[6]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y18_N22 +cycloneive_io_ibuf \MCU_D[7]~input ( + .i(MCU_D[7]), + .ibar(gnd), + .o(\MCU_D[7]~input_o )); +defparam \MCU_D[7]~input .bus_hold = "false"; +defparam \MCU_D[7]~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y18_N23 +cycloneive_io_obuf \MCU_D[7]~output ( + .i(\mcu_data_out[7]~15_combout ), + .oe(\MCU_DIR~input_o ), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(MCU_D[7]), + .obar()); +defparam \MCU_D[7]~output .bus_hold = "false"; +defparam \MCU_D[7]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y30_N8 +cycloneive_io_ibuf \MCU_IO_STBX~input ( + .i(MCU_IO_STBX), + .ibar(gnd), + .o(\MCU_IO_STBX~input_o )); +defparam \MCU_IO_STBX~input .bus_hold = "false"; +defparam \MCU_IO_STBX~input .simulate_z_as = "z"; + +// Location: CLKCTRL_G2 +cycloneive_clkctrl \MCU_IO_STBX~inputclkctrl ( + .inclk({vcc, vcc, vcc, \MCU_IO_STBX~input_o }), + .clkselect({gnd, gnd}), + .ena(vcc), + .devpor(devpor), + .devclrn(devclrn), + .outclk(\MCU_IO_STBX~inputclkctrl_outclk )); +defparam \MCU_IO_STBX~inputclkctrl .clock_type = "global clock"; +defparam \MCU_IO_STBX~inputclkctrl .ena_register_mode = "none"; + +// Location: IOIBUF_X0_Y30_N15 +cycloneive_io_ibuf \MCU_LCD_RDX~input ( + .i(MCU_LCD_RDX), + .ibar(gnd), + .o(\MCU_LCD_RDX~input_o )); +defparam \MCU_LCD_RDX~input .bus_hold = "false"; +defparam \MCU_LCD_RDX~input .simulate_z_as = "z"; + +// Location: CLKCTRL_G4 +cycloneive_clkctrl \MCU_LCD_RDX~inputclkctrl ( + .inclk({vcc, vcc, vcc, \MCU_LCD_RDX~input_o }), + .clkselect({gnd, gnd}), + .ena(vcc), + .devpor(devpor), + .devclrn(devclrn), + .outclk(\MCU_LCD_RDX~inputclkctrl_outclk )); +defparam \MCU_LCD_RDX~inputclkctrl .clock_type = "global clock"; +defparam \MCU_LCD_RDX~inputclkctrl .ena_register_mode = "none"; + +// Location: IOOBUF_X0_Y6_N16 +cycloneive_io_obuf \MCU_LCD_TE~output ( + .i(\LCD_TE~input_o ), + .oe(vcc), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(MCU_LCD_TE), + .obar()); +defparam \MCU_LCD_TE~output .bus_hold = "false"; +defparam \MCU_LCD_TE~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y30_N22 +cycloneive_io_ibuf \MCU_LCD_WRX~input ( + .i(MCU_LCD_WRX), + .ibar(gnd), + .o(\MCU_LCD_WRX~input_o )); +defparam \MCU_LCD_WRX~input .bus_hold = "false"; +defparam \MCU_LCD_WRX~input .simulate_z_as = "z"; + +// Location: CLKCTRL_G3 +cycloneive_clkctrl \MCU_LCD_WRX~inputclkctrl ( + .inclk({vcc, vcc, vcc, \MCU_LCD_WRX~input_o }), + .clkselect({gnd, gnd}), + .ena(vcc), + .devpor(devpor), + .devclrn(devclrn), + .outclk(\MCU_LCD_WRX~inputclkctrl_outclk )); +defparam \MCU_LCD_WRX~inputclkctrl .clock_type = "global clock"; +defparam \MCU_LCD_WRX~inputclkctrl .ena_register_mode = "none"; + +// Location: IOIBUF_X18_Y62_N15 +cycloneive_io_ibuf \MCU_P2_8~input ( + .i(MCU_P2_8), + .ibar(gnd), + .o(\MCU_P2_8~input_o )); +defparam \MCU_P2_8~input .bus_hold = "false"; +defparam \MCU_P2_8~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y7_N9 +cycloneive_io_obuf \REF_EN~output ( + .i(\ref_en_q~q ), + .oe(vcc), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(REF_EN), + .obar()); +defparam \REF_EN~output .bus_hold = "false"; +defparam \REF_EN~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y25_N15 +cycloneive_io_ibuf \SW_D~input ( + .i(SW_D), + .ibar(gnd), + .o(\SW_D~input_o )); +defparam \SW_D~input .bus_hold = "false"; +defparam \SW_D~input .simulate_z_as = "z"; + +// Location: IOIBUF_X0_Y10_N1 +cycloneive_io_ibuf \SW_L~input ( + .i(SW_L), + .ibar(gnd), + .o(\SW_L~input_o )); +defparam \SW_L~input .bus_hold = "false"; +defparam \SW_L~input .simulate_z_as = "z"; + +// Location: IOIBUF_X0_Y28_N8 +cycloneive_io_ibuf \SW_ROT_A~input ( + .i(SW_ROT_A), + .ibar(gnd), + .o(\SW_ROT_A~input_o )); +defparam \SW_ROT_A~input .bus_hold = "false"; +defparam \SW_ROT_A~input .simulate_z_as = "z"; + +// Location: IOIBUF_X0_Y14_N8 +cycloneive_io_ibuf \SW_ROT_B~input ( + .i(SW_ROT_B), + .ibar(gnd), + .o(\SW_ROT_B~input_o )); +defparam \SW_ROT_B~input .bus_hold = "false"; +defparam \SW_ROT_B~input .simulate_z_as = "z"; + +// Location: IOIBUF_X0_Y10_N8 +cycloneive_io_ibuf \SW_R~input ( + .i(SW_R), + .ibar(gnd), + .o(\SW_R~input_o )); +defparam \SW_R~input .bus_hold = "false"; +defparam \SW_R~input .simulate_z_as = "z"; + +// Location: IOIBUF_X0_Y29_N22 +cycloneive_io_ibuf \SW_SEL~input ( + .i(SW_SEL), + .ibar(gnd), + .o(\SW_SEL~input_o )); +defparam \SW_SEL~input .bus_hold = "false"; +defparam \SW_SEL~input .simulate_z_as = "z"; + +// Location: IOIBUF_X0_Y28_N15 +cycloneive_io_ibuf \SW_U~input ( + .i(SW_U), + .ibar(gnd), + .o(\SW_U~input_o )); +defparam \SW_U~input .bus_hold = "false"; +defparam \SW_U~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y9_N9 +cycloneive_io_obuf \SYSOFF~output ( + .i(\sysoff_q~q ), + .oe(vcc), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(SYSOFF), + .obar()); +defparam \SYSOFF~output .bus_hold = "false"; +defparam \SYSOFF~output .open_drain_output = "false"; + +// Location: IOOBUF_X0_Y15_N23 +cycloneive_io_obuf \TP_D~output ( + .i(tp_q[2]), + .oe(tp_q[6]), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(TP_D), + .obar()); +defparam \TP_D~output .bus_hold = "false"; +defparam \TP_D~output .open_drain_output = "false"; + +// Location: IOOBUF_X0_Y11_N9 +cycloneive_io_obuf \TP_L~output ( + .i(tp_q[1]), + .oe(tp_q[5]), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(TP_L), + .obar()); +defparam \TP_L~output .bus_hold = "false"; +defparam \TP_L~output .open_drain_output = "false"; + +// Location: IOOBUF_X0_Y15_N16 +cycloneive_io_obuf \TP_R~output ( + .i(tp_q[0]), + .oe(tp_q[4]), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(TP_R), + .obar()); +defparam \TP_R~output .bus_hold = "false"; +defparam \TP_R~output .open_drain_output = "false"; + +// Location: IOOBUF_X0_Y11_N2 +cycloneive_io_obuf \TP_U~output ( + .i(tp_q[3]), + .oe(tp_q[7]), + .seriesterminationcontrol({gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd, gnd}), + .devoe(devoe), + .o(TP_U), + .obar()); +defparam \TP_U~output .bus_hold = "false"; +defparam \TP_U~output .open_drain_output = "false"; + +// Location: FF_X1_Y15_N23 +dffeas audio_reset_q( + .clk(\MCU_IO_STBX~inputclkctrl_outclk ), + .d(\audio_reset_q~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\lcd_reset_q~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\audio_reset_q~q ), + .prn(vcc)); +defparam audio_reset_q.is_wysiwyg = "true"; +defparam audio_reset_q.power_up = "low"; + +// Location: LCCOMB_X1_Y15_N22 +cycloneive_lcell_comb \audio_reset_q~0 ( + .dataa(gnd), + .datab(gnd), + .datac(\MCU_D[1]~input_o ), + .datad(gnd), + .cin(gnd), + .combout(\audio_reset_q~0_combout ), + .cout()); +defparam \audio_reset_q~0 .lut_mask = 16'h0F0F; +defparam \audio_reset_q~0 .sum_lutc_input = "datac"; + +// Location: FF_X1_Y15_N17 +dffeas lcd_backlight_q( + .clk(\MCU_IO_STBX~inputclkctrl_outclk ), + .d(\lcd_backlight_q~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\lcd_reset_q~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\lcd_backlight_q~q ), + .prn(vcc)); +defparam lcd_backlight_q.is_wysiwyg = "true"; +defparam lcd_backlight_q.power_up = "low"; + +// Location: LCCOMB_X1_Y15_N16 +cycloneive_lcell_comb \lcd_backlight_q~feeder ( + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\MCU_D[7]~input_o ), + .cin(gnd), + .combout(\lcd_backlight_q~feeder_combout ), + .cout()); +defparam \lcd_backlight_q~feeder .lut_mask = 16'hFF00; +defparam \lcd_backlight_q~feeder .sum_lutc_input = "datac"; + +// Location: FF_X1_Y19_N31 +dffeas \lcd_data_in_q[0] ( + .clk(\MCU_LCD_RDX~inputclkctrl_outclk ), + .d(gnd), + .asdata(\LCD_DB[0]~input_o ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(lcd_data_in_q[0]), + .prn(vcc)); +defparam \lcd_data_in_q[0] .is_wysiwyg = "true"; +defparam \lcd_data_in_q[0] .power_up = "low"; + +// Location: FF_X1_Y21_N5 +dffeas \lcd_data_in_q[1] ( + .clk(\MCU_LCD_RDX~inputclkctrl_outclk ), + .d(gnd), + .asdata(\LCD_DB[1]~input_o ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(lcd_data_in_q[1]), + .prn(vcc)); +defparam \lcd_data_in_q[1] .is_wysiwyg = "true"; +defparam \lcd_data_in_q[1] .power_up = "low"; + +// Location: FF_X1_Y24_N31 +dffeas \lcd_data_in_q[2] ( + .clk(\MCU_LCD_RDX~inputclkctrl_outclk ), + .d(gnd), + .asdata(\LCD_DB[2]~input_o ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(lcd_data_in_q[2]), + .prn(vcc)); +defparam \lcd_data_in_q[2] .is_wysiwyg = "true"; +defparam \lcd_data_in_q[2] .power_up = "low"; + +// Location: FF_X1_Y26_N7 +dffeas \lcd_data_in_q[3] ( + .clk(\MCU_LCD_RDX~inputclkctrl_outclk ), + .d(gnd), + .asdata(\LCD_DB[3]~input_o ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(lcd_data_in_q[3]), + .prn(vcc)); +defparam \lcd_data_in_q[3] .is_wysiwyg = "true"; +defparam \lcd_data_in_q[3] .power_up = "low"; + +// Location: FF_X1_Y26_N11 +dffeas \lcd_data_in_q[4] ( + .clk(\MCU_LCD_RDX~inputclkctrl_outclk ), + .d(gnd), + .asdata(\LCD_DB[4]~input_o ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(lcd_data_in_q[4]), + .prn(vcc)); +defparam \lcd_data_in_q[4] .is_wysiwyg = "true"; +defparam \lcd_data_in_q[4] .power_up = "low"; + +// Location: FF_X1_Y26_N9 +dffeas \lcd_data_in_q[5] ( + .clk(\MCU_LCD_RDX~inputclkctrl_outclk ), + .d(gnd), + .asdata(\LCD_DB[5]~input_o ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(lcd_data_in_q[5]), + .prn(vcc)); +defparam \lcd_data_in_q[5] .is_wysiwyg = "true"; +defparam \lcd_data_in_q[5] .power_up = "low"; + +// Location: FF_X1_Y19_N5 +dffeas \lcd_data_in_q[6] ( + .clk(\MCU_LCD_RDX~inputclkctrl_outclk ), + .d(gnd), + .asdata(\LCD_DB[6]~input_o ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(lcd_data_in_q[6]), + .prn(vcc)); +defparam \lcd_data_in_q[6] .is_wysiwyg = "true"; +defparam \lcd_data_in_q[6] .power_up = "low"; + +// Location: FF_X1_Y21_N29 +dffeas \lcd_data_in_q[7] ( + .clk(\MCU_LCD_RDX~inputclkctrl_outclk ), + .d(gnd), + .asdata(\LCD_DB[7]~input_o ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(lcd_data_in_q[7]), + .prn(vcc)); +defparam \lcd_data_in_q[7] .is_wysiwyg = "true"; +defparam \lcd_data_in_q[7] .power_up = "low"; + +// Location: FF_X1_Y20_N31 +dffeas \lcd_data_out_q[0] ( + .clk(!\MCU_LCD_WRX~inputclkctrl_outclk ), + .d(\lcd_data_out_q[0]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(lcd_data_out_q[0]), + .prn(vcc)); +defparam \lcd_data_out_q[0] .is_wysiwyg = "true"; +defparam \lcd_data_out_q[0] .power_up = "low"; + +// Location: LCCOMB_X1_Y20_N30 +cycloneive_lcell_comb \lcd_data_out_q[0]~feeder ( + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\MCU_D[0]~input_o ), + .cin(gnd), + .combout(\lcd_data_out_q[0]~feeder_combout ), + .cout()); +defparam \lcd_data_out_q[0]~feeder .lut_mask = 16'hFF00; +defparam \lcd_data_out_q[0]~feeder .sum_lutc_input = "datac"; + +// Location: FF_X1_Y20_N1 +dffeas \lcd_data_out_q[1] ( + .clk(!\MCU_LCD_WRX~inputclkctrl_outclk ), + .d(\lcd_data_out_q[1]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(lcd_data_out_q[1]), + .prn(vcc)); +defparam \lcd_data_out_q[1] .is_wysiwyg = "true"; +defparam \lcd_data_out_q[1] .power_up = "low"; + +// Location: LCCOMB_X1_Y20_N0 +cycloneive_lcell_comb \lcd_data_out_q[1]~feeder ( + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\MCU_D[1]~input_o ), + .cin(gnd), + .combout(\lcd_data_out_q[1]~feeder_combout ), + .cout()); +defparam \lcd_data_out_q[1]~feeder .lut_mask = 16'hFF00; +defparam \lcd_data_out_q[1]~feeder .sum_lutc_input = "datac"; + +// Location: FF_X1_Y20_N11 +dffeas \lcd_data_out_q[2] ( + .clk(!\MCU_LCD_WRX~inputclkctrl_outclk ), + .d(\lcd_data_out_q[2]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(lcd_data_out_q[2]), + .prn(vcc)); +defparam \lcd_data_out_q[2] .is_wysiwyg = "true"; +defparam \lcd_data_out_q[2] .power_up = "low"; + +// Location: LCCOMB_X1_Y20_N10 +cycloneive_lcell_comb \lcd_data_out_q[2]~feeder ( + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\MCU_D[2]~input_o ), + .cin(gnd), + .combout(\lcd_data_out_q[2]~feeder_combout ), + .cout()); +defparam \lcd_data_out_q[2]~feeder .lut_mask = 16'hFF00; +defparam \lcd_data_out_q[2]~feeder .sum_lutc_input = "datac"; + +// Location: FF_X1_Y26_N15 +dffeas \lcd_data_out_q[3] ( + .clk(!\MCU_LCD_WRX~inputclkctrl_outclk ), + .d(gnd), + .asdata(\MCU_D[3]~input_o ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(lcd_data_out_q[3]), + .prn(vcc)); +defparam \lcd_data_out_q[3] .is_wysiwyg = "true"; +defparam \lcd_data_out_q[3] .power_up = "low"; + +// Location: FF_X1_Y26_N13 +dffeas \lcd_data_out_q[4] ( + .clk(!\MCU_LCD_WRX~inputclkctrl_outclk ), + .d(\lcd_data_out_q[4]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(lcd_data_out_q[4]), + .prn(vcc)); +defparam \lcd_data_out_q[4] .is_wysiwyg = "true"; +defparam \lcd_data_out_q[4] .power_up = "low"; + +// Location: LCCOMB_X1_Y26_N12 +cycloneive_lcell_comb \lcd_data_out_q[4]~feeder ( + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\MCU_D[4]~input_o ), + .cin(gnd), + .combout(\lcd_data_out_q[4]~feeder_combout ), + .cout()); +defparam \lcd_data_out_q[4]~feeder .lut_mask = 16'hFF00; +defparam \lcd_data_out_q[4]~feeder .sum_lutc_input = "datac"; + +// Location: FF_X1_Y26_N5 +dffeas \lcd_data_out_q[5] ( + .clk(!\MCU_LCD_WRX~inputclkctrl_outclk ), + .d(gnd), + .asdata(\MCU_D[5]~input_o ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(lcd_data_out_q[5]), + .prn(vcc)); +defparam \lcd_data_out_q[5] .is_wysiwyg = "true"; +defparam \lcd_data_out_q[5] .power_up = "low"; + +// Location: FF_X1_Y20_N13 +dffeas \lcd_data_out_q[6] ( + .clk(!\MCU_LCD_WRX~inputclkctrl_outclk ), + .d(gnd), + .asdata(\MCU_D[6]~input_o ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(lcd_data_out_q[6]), + .prn(vcc)); +defparam \lcd_data_out_q[6] .is_wysiwyg = "true"; +defparam \lcd_data_out_q[6] .power_up = "low"; + +// Location: FF_X1_Y20_N15 +dffeas \lcd_data_out_q[7] ( + .clk(!\MCU_LCD_WRX~inputclkctrl_outclk ), + .d(\lcd_data_out_q[7]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(lcd_data_out_q[7]), + .prn(vcc)); +defparam \lcd_data_out_q[7] .is_wysiwyg = "true"; +defparam \lcd_data_out_q[7] .power_up = "low"; + +// Location: LCCOMB_X1_Y20_N14 +cycloneive_lcell_comb \lcd_data_out_q[7]~feeder ( + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\MCU_D[7]~input_o ), + .cin(gnd), + .combout(\lcd_data_out_q[7]~feeder_combout ), + .cout()); +defparam \lcd_data_out_q[7]~feeder .lut_mask = 16'hFF00; +defparam \lcd_data_out_q[7]~feeder .sum_lutc_input = "datac"; + +// Location: FF_X1_Y15_N3 +dffeas lcd_reset_q( + .clk(\MCU_IO_STBX~inputclkctrl_outclk ), + .d(\lcd_reset_q~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\lcd_reset_q~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\lcd_reset_q~q ), + .prn(vcc)); +defparam lcd_reset_q.is_wysiwyg = "true"; +defparam lcd_reset_q.power_up = "low"; + +// Location: LCCOMB_X1_Y15_N10 +cycloneive_lcell_comb \lcd_reset_q~0 ( + .dataa(gnd), + .datab(gnd), + .datac(\MCU_ADDR~input_o ), + .datad(\MCU_DIR~input_o ), + .cin(gnd), + .combout(\lcd_reset_q~0_combout ), + .cout()); +defparam \lcd_reset_q~0 .lut_mask = 16'h00F0; +defparam \lcd_reset_q~0 .sum_lutc_input = "datac"; + +// Location: LCCOMB_X1_Y15_N2 +cycloneive_lcell_comb \lcd_reset_q~1 ( + .dataa(gnd), + .datab(gnd), + .datac(\MCU_D[0]~input_o ), + .datad(gnd), + .cin(gnd), + .combout(\lcd_reset_q~1_combout ), + .cout()); +defparam \lcd_reset_q~1 .lut_mask = 16'h0F0F; +defparam \lcd_reset_q~1 .sum_lutc_input = "datac"; + +// Location: LCCOMB_X1_Y19_N30 +cycloneive_lcell_comb \mcu_data_out[0]~0 ( + .dataa(\LCD_DB[8]~input_o ), + .datab(gnd), + .datac(lcd_data_in_q[0]), + .datad(\MCU_LCD_RDX~input_o ), + .cin(gnd), + .combout(\mcu_data_out[0]~0_combout ), + .cout()); +defparam \mcu_data_out[0]~0 .lut_mask = 16'hF0AA; +defparam \mcu_data_out[0]~0 .sum_lutc_input = "datac"; + +// Location: LCCOMB_X1_Y18_N30 +cycloneive_lcell_comb \mcu_data_out[0]~1 ( + .dataa(\SW_R~input_o ), + .datab(\MCU_IO_STBX~input_o ), + .datac(\mcu_data_out[0]~0_combout ), + .datad(\MCU_DIR~input_o ), + .cin(gnd), + .combout(\mcu_data_out[0]~1_combout ), + .cout()); +defparam \mcu_data_out[0]~1 .lut_mask = 16'hD1F0; +defparam \mcu_data_out[0]~1 .sum_lutc_input = "datac"; + +// Location: LCCOMB_X1_Y21_N4 +cycloneive_lcell_comb \mcu_data_out[1]~2 ( + .dataa(\LCD_DB[9]~input_o ), + .datab(\MCU_LCD_RDX~input_o ), + .datac(lcd_data_in_q[1]), + .datad(gnd), + .cin(gnd), + .combout(\mcu_data_out[1]~2_combout ), + .cout()); +defparam \mcu_data_out[1]~2 .lut_mask = 16'hE2E2; +defparam \mcu_data_out[1]~2 .sum_lutc_input = "datac"; + +// Location: LCCOMB_X1_Y18_N28 +cycloneive_lcell_comb \mcu_data_out[1]~3 ( + .dataa(\SW_L~input_o ), + .datab(\MCU_DIR~input_o ), + .datac(\MCU_IO_STBX~input_o ), + .datad(\mcu_data_out[1]~2_combout ), + .cin(gnd), + .combout(\mcu_data_out[1]~3_combout ), + .cout()); +defparam \mcu_data_out[1]~3 .lut_mask = 16'hF704; +defparam \mcu_data_out[1]~3 .sum_lutc_input = "datac"; + +// Location: LCCOMB_X1_Y24_N30 +cycloneive_lcell_comb \mcu_data_out[2]~4 ( + .dataa(\LCD_DB[10]~input_o ), + .datab(gnd), + .datac(lcd_data_in_q[2]), + .datad(\MCU_LCD_RDX~input_o ), + .cin(gnd), + .combout(\mcu_data_out[2]~4_combout ), + .cout()); +defparam \mcu_data_out[2]~4 .lut_mask = 16'hF0AA; +defparam \mcu_data_out[2]~4 .sum_lutc_input = "datac"; + +// Location: LCCOMB_X1_Y23_N16 +cycloneive_lcell_comb \mcu_data_out[2]~5 ( + .dataa(\SW_D~input_o ), + .datab(\MCU_IO_STBX~input_o ), + .datac(\mcu_data_out[2]~4_combout ), + .datad(\MCU_DIR~input_o ), + .cin(gnd), + .combout(\mcu_data_out[2]~5_combout ), + .cout()); +defparam \mcu_data_out[2]~5 .lut_mask = 16'hD1F0; +defparam \mcu_data_out[2]~5 .sum_lutc_input = "datac"; + +// Location: LCCOMB_X1_Y26_N6 +cycloneive_lcell_comb \mcu_data_out[3]~6 ( + .dataa(\MCU_LCD_RDX~input_o ), + .datab(\LCD_DB[11]~input_o ), + .datac(lcd_data_in_q[3]), + .datad(gnd), + .cin(gnd), + .combout(\mcu_data_out[3]~6_combout ), + .cout()); +defparam \mcu_data_out[3]~6 .lut_mask = 16'hE4E4; +defparam \mcu_data_out[3]~6 .sum_lutc_input = "datac"; + +// Location: LCCOMB_X1_Y23_N12 +cycloneive_lcell_comb \mcu_data_out[3]~7 ( + .dataa(\SW_U~input_o ), + .datab(\MCU_IO_STBX~input_o ), + .datac(\MCU_DIR~input_o ), + .datad(\mcu_data_out[3]~6_combout ), + .cin(gnd), + .combout(\mcu_data_out[3]~7_combout ), + .cout()); +defparam \mcu_data_out[3]~7 .lut_mask = 16'hDF10; +defparam \mcu_data_out[3]~7 .sum_lutc_input = "datac"; + +// Location: LCCOMB_X1_Y26_N10 +cycloneive_lcell_comb \mcu_data_out[4]~8 ( + .dataa(\MCU_LCD_RDX~input_o ), + .datab(\LCD_DB[12]~input_o ), + .datac(lcd_data_in_q[4]), + .datad(gnd), + .cin(gnd), + .combout(\mcu_data_out[4]~8_combout ), + .cout()); +defparam \mcu_data_out[4]~8 .lut_mask = 16'hE4E4; +defparam \mcu_data_out[4]~8 .sum_lutc_input = "datac"; + +// Location: LCCOMB_X1_Y23_N14 +cycloneive_lcell_comb \mcu_data_out[4]~9 ( + .dataa(\MCU_DIR~input_o ), + .datab(\SW_SEL~input_o ), + .datac(\MCU_IO_STBX~input_o ), + .datad(\mcu_data_out[4]~8_combout ), + .cin(gnd), + .combout(\mcu_data_out[4]~9_combout ), + .cout()); +defparam \mcu_data_out[4]~9 .lut_mask = 16'hF702; +defparam \mcu_data_out[4]~9 .sum_lutc_input = "datac"; + +// Location: LCCOMB_X1_Y26_N8 +cycloneive_lcell_comb \mcu_data_out[5]~10 ( + .dataa(\MCU_LCD_RDX~input_o ), + .datab(gnd), + .datac(lcd_data_in_q[5]), + .datad(\LCD_DB[13]~input_o ), + .cin(gnd), + .combout(\mcu_data_out[5]~10_combout ), + .cout()); +defparam \mcu_data_out[5]~10 .lut_mask = 16'hF5A0; +defparam \mcu_data_out[5]~10 .sum_lutc_input = "datac"; + +// Location: LCCOMB_X1_Y23_N30 +cycloneive_lcell_comb \mcu_data_out[5]~11 ( + .dataa(\MCU_DIR~input_o ), + .datab(\SW_ROT_A~input_o ), + .datac(\MCU_IO_STBX~input_o ), + .datad(\mcu_data_out[5]~10_combout ), + .cin(gnd), + .combout(\mcu_data_out[5]~11_combout ), + .cout()); +defparam \mcu_data_out[5]~11 .lut_mask = 16'hF702; +defparam \mcu_data_out[5]~11 .sum_lutc_input = "datac"; + +// Location: LCCOMB_X1_Y19_N4 +cycloneive_lcell_comb \mcu_data_out[6]~12 ( + .dataa(\LCD_DB[14]~input_o ), + .datab(gnd), + .datac(lcd_data_in_q[6]), + .datad(\MCU_LCD_RDX~input_o ), + .cin(gnd), + .combout(\mcu_data_out[6]~12_combout ), + .cout()); +defparam \mcu_data_out[6]~12 .lut_mask = 16'hF0AA; +defparam \mcu_data_out[6]~12 .sum_lutc_input = "datac"; + +// Location: LCCOMB_X1_Y18_N16 +cycloneive_lcell_comb \mcu_data_out[6]~13 ( + .dataa(\SW_ROT_B~input_o ), + .datab(\MCU_IO_STBX~input_o ), + .datac(\mcu_data_out[6]~12_combout ), + .datad(\MCU_DIR~input_o ), + .cin(gnd), + .combout(\mcu_data_out[6]~13_combout ), + .cout()); +defparam \mcu_data_out[6]~13 .lut_mask = 16'hD1F0; +defparam \mcu_data_out[6]~13 .sum_lutc_input = "datac"; + +// Location: LCCOMB_X1_Y21_N28 +cycloneive_lcell_comb \mcu_data_out[7]~14 ( + .dataa(\LCD_DB[15]~input_o ), + .datab(\MCU_LCD_RDX~input_o ), + .datac(lcd_data_in_q[7]), + .datad(gnd), + .cin(gnd), + .combout(\mcu_data_out[7]~14_combout ), + .cout()); +defparam \mcu_data_out[7]~14 .lut_mask = 16'hE2E2; +defparam \mcu_data_out[7]~14 .sum_lutc_input = "datac"; + +// Location: LCCOMB_X1_Y18_N14 +cycloneive_lcell_comb \mcu_data_out[7]~15 ( + .dataa(\LCD_TE~input_o ), + .datab(\MCU_DIR~input_o ), + .datac(\MCU_IO_STBX~input_o ), + .datad(\mcu_data_out[7]~14_combout ), + .cin(gnd), + .combout(\mcu_data_out[7]~15_combout ), + .cout()); +defparam \mcu_data_out[7]~15 .lut_mask = 16'hFB08; +defparam \mcu_data_out[7]~15 .sum_lutc_input = "datac"; + +// Location: FF_X1_Y15_N31 +dffeas ref_en_q( + .clk(\MCU_IO_STBX~inputclkctrl_outclk ), + .d(\ref_en_q~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\lcd_reset_q~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\ref_en_q~q ), + .prn(vcc)); +defparam ref_en_q.is_wysiwyg = "true"; +defparam ref_en_q.power_up = "low"; + +// Location: LCCOMB_X1_Y15_N30 +cycloneive_lcell_comb \ref_en_q~feeder ( + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\MCU_D[6]~input_o ), + .cin(gnd), + .combout(\ref_en_q~feeder_combout ), + .cout()); +defparam \ref_en_q~feeder .lut_mask = 16'hFF00; +defparam \ref_en_q~feeder .sum_lutc_input = "datac"; + +// Location: FF_X1_Y15_N7 +dffeas sysoff_q( + .clk(\MCU_IO_STBX~inputclkctrl_outclk ), + .d(\sysoff_q~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\lcd_reset_q~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\sysoff_q~q ), + .prn(vcc)); +defparam sysoff_q.is_wysiwyg = "true"; +defparam sysoff_q.power_up = "low"; + +// Location: LCCOMB_X1_Y15_N6 +cycloneive_lcell_comb \sysoff_q~feeder ( + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\MCU_D[2]~input_o ), + .cin(gnd), + .combout(\sysoff_q~feeder_combout ), + .cout()); +defparam \sysoff_q~feeder .lut_mask = 16'hFF00; +defparam \sysoff_q~feeder .sum_lutc_input = "datac"; + +// Location: FF_X1_Y15_N19 +dffeas \tp_q[0] ( + .clk(\MCU_IO_STBX~inputclkctrl_outclk ), + .d(gnd), + .asdata(\MCU_D[0]~input_o ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\tp_q[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(tp_q[0]), + .prn(vcc)); +defparam \tp_q[0] .is_wysiwyg = "true"; +defparam \tp_q[0] .power_up = "low"; + +// Location: FF_X1_Y15_N21 +dffeas \tp_q[1] ( + .clk(\MCU_IO_STBX~inputclkctrl_outclk ), + .d(gnd), + .asdata(\MCU_D[1]~input_o ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\tp_q[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(tp_q[1]), + .prn(vcc)); +defparam \tp_q[1] .is_wysiwyg = "true"; +defparam \tp_q[1] .power_up = "low"; + +// Location: FF_X1_Y15_N27 +dffeas \tp_q[2] ( + .clk(\MCU_IO_STBX~inputclkctrl_outclk ), + .d(\tp_q[2]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\tp_q[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(tp_q[2]), + .prn(vcc)); +defparam \tp_q[2] .is_wysiwyg = "true"; +defparam \tp_q[2] .power_up = "low"; + +// Location: LCCOMB_X1_Y15_N26 +cycloneive_lcell_comb \tp_q[2]~feeder ( + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\MCU_D[2]~input_o ), + .cin(gnd), + .combout(\tp_q[2]~feeder_combout ), + .cout()); +defparam \tp_q[2]~feeder .lut_mask = 16'hFF00; +defparam \tp_q[2]~feeder .sum_lutc_input = "datac"; + +// Location: FF_X1_Y15_N13 +dffeas \tp_q[3] ( + .clk(\MCU_IO_STBX~inputclkctrl_outclk ), + .d(gnd), + .asdata(\MCU_D[3]~input_o ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\tp_q[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(tp_q[3]), + .prn(vcc)); +defparam \tp_q[3] .is_wysiwyg = "true"; +defparam \tp_q[3] .power_up = "low"; + +// Location: LCCOMB_X1_Y15_N4 +cycloneive_lcell_comb \tp_q[3]~0 ( + .dataa(gnd), + .datab(gnd), + .datac(\MCU_ADDR~input_o ), + .datad(\MCU_DIR~input_o ), + .cin(gnd), + .combout(\tp_q[3]~0_combout ), + .cout()); +defparam \tp_q[3]~0 .lut_mask = 16'h000F; +defparam \tp_q[3]~0 .sum_lutc_input = "datac"; + +// Location: FF_X1_Y15_N15 +dffeas \tp_q[4] ( + .clk(\MCU_IO_STBX~inputclkctrl_outclk ), + .d(\tp_q[4]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\tp_q[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(tp_q[4]), + .prn(vcc)); +defparam \tp_q[4] .is_wysiwyg = "true"; +defparam \tp_q[4] .power_up = "low"; + +// Location: LCCOMB_X1_Y15_N14 +cycloneive_lcell_comb \tp_q[4]~feeder ( + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\MCU_D[4]~input_o ), + .cin(gnd), + .combout(\tp_q[4]~feeder_combout ), + .cout()); +defparam \tp_q[4]~feeder .lut_mask = 16'hFF00; +defparam \tp_q[4]~feeder .sum_lutc_input = "datac"; + +// Location: FF_X1_Y15_N29 +dffeas \tp_q[5] ( + .clk(\MCU_IO_STBX~inputclkctrl_outclk ), + .d(gnd), + .asdata(\MCU_D[5]~input_o ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\tp_q[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(tp_q[5]), + .prn(vcc)); +defparam \tp_q[5] .is_wysiwyg = "true"; +defparam \tp_q[5] .power_up = "low"; + +// Location: FF_X1_Y15_N9 +dffeas \tp_q[6] ( + .clk(\MCU_IO_STBX~inputclkctrl_outclk ), + .d(\tp_q[6]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\tp_q[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(tp_q[6]), + .prn(vcc)); +defparam \tp_q[6] .is_wysiwyg = "true"; +defparam \tp_q[6] .power_up = "low"; + +// Location: LCCOMB_X1_Y15_N8 +cycloneive_lcell_comb \tp_q[6]~feeder ( + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\MCU_D[6]~input_o ), + .cin(gnd), + .combout(\tp_q[6]~feeder_combout ), + .cout()); +defparam \tp_q[6]~feeder .lut_mask = 16'hFF00; +defparam \tp_q[6]~feeder .sum_lutc_input = "datac"; + +// Location: FF_X1_Y15_N25 +dffeas \tp_q[7] ( + .clk(\MCU_IO_STBX~inputclkctrl_outclk ), + .d(\tp_q[7]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\tp_q[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(tp_q[7]), + .prn(vcc)); +defparam \tp_q[7] .is_wysiwyg = "true"; +defparam \tp_q[7] .power_up = "low"; + +// Location: LCCOMB_X1_Y15_N24 +cycloneive_lcell_comb \tp_q[7]~feeder ( + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(\MCU_D[7]~input_o ), + .cin(gnd), + .combout(\tp_q[7]~feeder_combout ), + .cout()); +defparam \tp_q[7]~feeder .lut_mask = 16'hFF00; +defparam \tp_q[7]~feeder .sum_lutc_input = "datac"; + +endmodule diff --git a/hardware/portapack_h4m/CPLD/Supra/alta_db/fmax.rpt b/hardware/portapack_h4m/CPLD/Supra/alta_db/fmax.rpt new file mode 100644 index 00000000..e69de29b diff --git a/hardware/portapack_h4m/CPLD/Supra/alta_db/hold.rpt.gz b/hardware/portapack_h4m/CPLD/Supra/alta_db/hold.rpt.gz new file mode 100644 index 00000000..8c9a6c32 Binary files /dev/null and b/hardware/portapack_h4m/CPLD/Supra/alta_db/hold.rpt.gz differ diff --git a/hardware/portapack_h4m/CPLD/Supra/alta_db/hold_summary.rpt.gz b/hardware/portapack_h4m/CPLD/Supra/alta_db/hold_summary.rpt.gz new file mode 100644 index 00000000..22ccfac1 Binary files /dev/null and b/hardware/portapack_h4m/CPLD/Supra/alta_db/hold_summary.rpt.gz differ diff --git a/hardware/portapack_h4m/CPLD/Supra/alta_db/io.asf b/hardware/portapack_h4m/CPLD/Supra/alta_db/io.asf new file mode 100644 index 00000000..c3c3ca05 --- /dev/null +++ b/hardware/portapack_h4m/CPLD/Supra/alta_db/io.asf @@ -0,0 +1,112 @@ +set_location_assignment -to MCU_D[7] PIN_26 +set_location_assignment -to MCU_D[6] PIN_27 +set_location_assignment -to MCU_D[5] PIN_29 +set_location_assignment -to MCU_D[4] PIN_28 +set_location_assignment -to MCU_D[3] PIN_30 +set_location_assignment -to MCU_D[2] PIN_33 +set_location_assignment -to MCU_D[1] PIN_36 +set_location_assignment -to MCU_D[0] PIN_35 +set_location_assignment -to MCU_DIR PIN_72 +set_location_assignment -to MCU_IO_STBX PIN_41 +set_location_assignment -to MCU_LCD_WRX PIN_71 +set_location_assignment -to MCU_ADDR PIN_42 +set_location_assignment -to MCU_LCD_TE PIN_40 +set_location_assignment -to MCU_P2_8 PIN_43 +set_location_assignment -to MCU_LCD_RDX PIN_39 +set_location_assignment -to TP_U PIN_8 +set_location_assignment -to TP_D PIN_6 +set_location_assignment -to TP_L PIN_7 +set_location_assignment -to TP_R PIN_5 +set_location_assignment -to SW_SEL PIN_17 +set_location_assignment -to SW_ROT_A PIN_15 +set_location_assignment -to SW_ROT_B PIN_16 +set_location_assignment -to SW_U PIN_34 +set_location_assignment -to SW_D PIN_14 +set_location_assignment -to SW_L PIN_37 +set_location_assignment -to SW_R PIN_12 +set_location_assignment -to LCD_RESETX PIN_100 +set_location_assignment -to LCD_RS PIN_3 +set_location_assignment -to LCD_WRX PIN_2 +set_location_assignment -to LCD_RDX PIN_1 +set_location_assignment -to LCD_DB[15] PIN_82 +set_location_assignment -to LCD_DB[14] PIN_83 +set_location_assignment -to LCD_DB[13] PIN_84 +set_location_assignment -to LCD_DB[12] PIN_85 +set_location_assignment -to LCD_DB[11] PIN_86 +set_location_assignment -to LCD_DB[10] PIN_87 +set_location_assignment -to LCD_DB[9] PIN_88 +set_location_assignment -to LCD_DB[8] PIN_89 +set_location_assignment -to LCD_DB[7] PIN_90 +set_location_assignment -to LCD_DB[6] PIN_91 +set_location_assignment -to LCD_DB[5] PIN_92 +set_location_assignment -to LCD_DB[4] PIN_95 +set_location_assignment -to LCD_DB[3] PIN_96 +set_location_assignment -to LCD_DB[2] PIN_97 +set_location_assignment -to LCD_DB[1] PIN_98 +set_location_assignment -to LCD_DB[0] PIN_99 +set_location_assignment -to LCD_TE PIN_4 +set_location_assignment -to LCD_BACKLIGHT PIN_76 +set_location_assignment -to SYSOFF PIN_47 +set_location_assignment -to AUDIO_RESETX PIN_57 +set_location_assignment -to REF_EN PIN_58 +set_location_assignment -to GPS_RESETX PIN_73 +set_location_assignment -to GPS_TX_READY PIN_75 +set_location_assignment -to GPS_TIMEPULSE PIN_74 +set_location_assignment -to DEVICE_RESET PIN_44 +set_location_assignment -to DEVICE_RESET_V PIN_38 +set_instance_assignment -name IO_STANDARD -to MCU_D[7] "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to MCU_D[6] "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to MCU_D[5] "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to MCU_D[4] "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to MCU_D[3] "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to MCU_D[2] "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to MCU_D[1] "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to MCU_D[0] "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to MCU_DIR "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to MCU_IO_STBX "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to MCU_LCD_WRX "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to MCU_ADDR "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to MCU_LCD_TE "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to MCU_P2_8 "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to MCU_LCD_RDX "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to TP_U "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to TP_D "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to TP_L "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to TP_R "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to SW_SEL "3.3V Schmitt Trigger Input" +set_instance_assignment -name IO_STANDARD -to SW_ROT_A "3.3V Schmitt Trigger Input" +set_instance_assignment -name IO_STANDARD -to SW_ROT_B "3.3V Schmitt Trigger Input" +set_instance_assignment -name IO_STANDARD -to SW_U "3.3V Schmitt Trigger Input" +set_instance_assignment -name IO_STANDARD -to SW_D "3.3V Schmitt Trigger Input" +set_instance_assignment -name IO_STANDARD -to SW_L "3.3V Schmitt Trigger Input" +set_instance_assignment -name IO_STANDARD -to SW_R "3.3V Schmitt Trigger Input" +set_instance_assignment -name IO_STANDARD -to LCD_RESETX "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to LCD_RS "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to LCD_WRX "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to LCD_RDX "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to LCD_DB[15] "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to LCD_DB[14] "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to LCD_DB[13] "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to LCD_DB[12] "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to LCD_DB[11] "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to LCD_DB[10] "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to LCD_DB[9] "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to LCD_DB[8] "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to LCD_DB[7] "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to LCD_DB[6] "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to LCD_DB[5] "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to LCD_DB[4] "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to LCD_DB[3] "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to LCD_DB[2] "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to LCD_DB[1] "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to LCD_DB[0] "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to LCD_TE "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to LCD_BACKLIGHT "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to SYSOFF "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to AUDIO_RESETX "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to REF_EN "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to GPS_RESETX "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to GPS_TX_READY "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to GPS_TIMEPULSE "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to DEVICE_RESET "3.3-V LVCMOS" +set_instance_assignment -name IO_STANDARD -to DEVICE_RESET_V "3.3-V LVCMOS" diff --git a/hardware/portapack_h4m/CPLD/Supra/alta_db/packed.vx b/hardware/portapack_h4m/CPLD/Supra/alta_db/packed.vx new file mode 100644 index 00000000..4347c04f --- /dev/null +++ b/hardware/portapack_h4m/CPLD/Supra/alta_db/packed.vx @@ -0,0 +1,2565 @@ +`timescale 1 ps/ 1 ps + +module top( + MCU_D, + MCU_DIR, + MCU_IO_STBX, + MCU_LCD_WRX, + MCU_ADDR, + MCU_LCD_TE, + MCU_P2_8, + MCU_LCD_RDX, + TP_U, + TP_D, + TP_L, + TP_R, + SW_SEL, + SW_ROT_A, + SW_ROT_B, + SW_U, + SW_D, + SW_L, + SW_R, + LCD_RESETX, + LCD_RS, + LCD_WRX, + LCD_RDX, + LCD_DB, + LCD_TE, + LCD_BACKLIGHT, + SYSOFF, + AUDIO_RESETX, + REF_EN, + GPS_RESETX, + GPS_TX_READY, + GPS_TIMEPULSE, + DEVICE_RESET, + DEVICE_RESET_V); +output [7:0] MCU_D; +input MCU_DIR; +input MCU_IO_STBX; +input MCU_LCD_WRX; +input MCU_ADDR; +output MCU_LCD_TE; +input MCU_P2_8; +input MCU_LCD_RDX; +output TP_U; +output TP_D; +output TP_L; +output TP_R; +input SW_SEL; +input SW_ROT_A; +input SW_ROT_B; +input SW_U; +input SW_D; +input SW_L; +input SW_R; +output LCD_RESETX; +output LCD_RS; +output LCD_WRX; +output LCD_RDX; +output [15:0] LCD_DB; +input LCD_TE; +output LCD_BACKLIGHT; +output SYSOFF; +output AUDIO_RESETX; +output REF_EN; +output GPS_RESETX; +input GPS_TX_READY; +input GPS_TIMEPULSE; +input DEVICE_RESET; +input DEVICE_RESET_V; + +// module hard_block +// Design Ports Information +// ~ALTERA_ASDO_DATA1~ => Location: PIN_F4, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// ~ALTERA_FLASH_nCE_nCSO~ => Location: PIN_E2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// ~ALTERA_DCLK~ => Location: PIN_P3, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// ~ALTERA_DATA0~ => Location: PIN_N7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// ~ALTERA_nCEO~ => Location: PIN_P28, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA + +// module top +// Design Ports Information +// MCU_LCD_TE => Location: PIN_AC4, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// MCU_P2_8 => Location: PIN_D6, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// TP_U => Location: PIN_AA4, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// TP_D => Location: PIN_AB3, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// TP_L => Location: PIN_AA3, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// TP_R => Location: PIN_AD1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_RESETX => Location: PIN_AB4, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_RS => Location: PIN_AF2, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_WRX => Location: PIN_M1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_RDX => Location: PIN_R6, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_BACKLIGHT => Location: PIN_W3, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// SYSOFF => Location: PIN_AE2, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// AUDIO_RESETX => Location: PIN_AE1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// REF_EN => Location: PIN_AC5, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// GPS_RESETX => Location: PIN_AC26, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// GPS_TX_READY => Location: PIN_D9, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// GPS_TIMEPULSE => Location: PIN_E25, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// DEVICE_RESET => Location: PIN_AF15, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// DEVICE_RESET_V => Location: PIN_AE25, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// MCU_D[0] => Location: PIN_AC1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// MCU_D[1] => Location: PIN_AC3, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// MCU_D[2] => Location: PIN_AD3, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// MCU_D[3] => Location: PIN_V3, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// MCU_D[4] => Location: PIN_V2, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// MCU_D[5] => Location: PIN_V1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// MCU_D[6] => Location: PIN_Y3, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// MCU_D[7] => Location: PIN_AC2, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[0] => Location: PIN_U5, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[1] => Location: PIN_AB1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[2] => Location: PIN_U2, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[3] => Location: PIN_T3, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[4] => Location: PIN_R5, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[5] => Location: PIN_T4, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[6] => Location: PIN_Y4, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[7] => Location: PIN_AB2, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[8] => Location: PIN_U6, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[9] => Location: PIN_U1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[10] => Location: PIN_V4, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[11] => Location: PIN_R2, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[12] => Location: PIN_R1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[13] => Location: PIN_R4, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[14] => Location: PIN_W2, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_DB[15] => Location: PIN_W1, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +// LCD_TE => Location: PIN_AB6, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// MCU_ADDR => Location: PIN_AB5, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// MCU_LCD_WRX => Location: PIN_Y1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// MCU_LCD_RDX => Location: PIN_Y2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// MCU_IO_STBX => Location: PIN_J1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// MCU_DIR => Location: PIN_AD2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// SW_R => Location: PIN_U8, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// SW_L => Location: PIN_U7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// SW_D => Location: PIN_T7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// SW_U => Location: PIN_R3, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// SW_SEL => Location: PIN_U3, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// SW_ROT_A => Location: PIN_U4, I/O Standard: 3.3-V LVTTL, Current Strength: Default +// SW_ROT_B => Location: PIN_T8, I/O Standard: 3.3-V LVTTL, Current Strength: Default + +//wire gnd; +//wire gnd; +//wire vcc; +//wire vcc; +//wire \AUDIO_RESETX~output_o ; +wire \DEVICE_RESET_V~input_o ; +wire \DEVICE_RESET~input_o ; +//wire \GPS_RESETX~output_o ; +wire \GPS_TIMEPULSE~input_o ; +wire \GPS_TX_READY~input_o ; +//wire \LCD_BACKLIGHT~output_o ; +//wire \LCD_DB[0]~output_o ; +wire \LCD_DB[0]~input_o ; +//wire \LCD_DB[10]~output_o ; +wire \LCD_DB[10]~input_o ; +//wire \LCD_DB[11]~output_o ; +wire \LCD_DB[11]~input_o ; +//wire \LCD_DB[12]~output_o ; +wire \LCD_DB[12]~input_o ; +//wire \LCD_DB[13]~output_o ; +wire \LCD_DB[13]~input_o ; +//wire \LCD_DB[14]~output_o ; +wire \LCD_DB[14]~input_o ; +//wire \LCD_DB[15]~output_o ; +wire \LCD_DB[15]~input_o ; +//wire \LCD_DB[1]~output_o ; +wire \LCD_DB[1]~input_o ; +//wire \LCD_DB[2]~output_o ; +wire \LCD_DB[2]~input_o ; +//wire \LCD_DB[3]~output_o ; +wire \LCD_DB[3]~input_o ; +//wire \LCD_DB[4]~output_o ; +wire \LCD_DB[4]~input_o ; +//wire \LCD_DB[5]~output_o ; +wire \LCD_DB[5]~input_o ; +//wire \LCD_DB[6]~output_o ; +wire \LCD_DB[6]~input_o ; +//wire \LCD_DB[7]~output_o ; +wire \LCD_DB[7]~input_o ; +//wire \LCD_DB[8]~output_o ; +wire \LCD_DB[8]~input_o ; +//wire \LCD_DB[9]~output_o ; +wire \LCD_DB[9]~input_o ; +//wire \LCD_RDX~output_o ; +//wire \LCD_RESETX~output_o ; +//wire \LCD_RS~output_o ; +wire \LCD_TE~input_o ; +//wire \LCD_WRX~output_o ; +wire \MCU_ADDR~input_o ; +wire \MCU_DIR~input_o ; +//wire \MCU_D[0]~output_o ; +wire \MCU_D[0]~input_o ; +//wire \MCU_D[1]~output_o ; +wire \MCU_D[1]~input_o ; +//wire \MCU_D[2]~output_o ; +wire \MCU_D[2]~input_o ; +//wire \MCU_D[3]~output_o ; +wire \MCU_D[3]~input_o ; +//wire \MCU_D[4]~output_o ; +wire \MCU_D[4]~input_o ; +//wire \MCU_D[5]~output_o ; +wire \MCU_D[5]~input_o ; +//wire \MCU_D[6]~output_o ; +wire \MCU_D[6]~input_o ; +//wire \MCU_D[7]~output_o ; +wire \MCU_D[7]~input_o ; +wire \MCU_IO_STBX~input_o ; +wire \MCU_IO_STBX~inputclkctrl_outclk ; +wire \MCU_LCD_RDX~input_o ; +wire \MCU_LCD_RDX~inputclkctrl_outclk ; +//wire \MCU_LCD_TE~output_o ; +wire \MCU_LCD_WRX~input_o ; +wire \MCU_LCD_WRX~inputclkctrl_outclk ; +wire \MCU_P2_8~input_o ; +//wire \REF_EN~output_o ; +wire \SW_D~input_o ; +wire \SW_L~input_o ; +wire \SW_ROT_A~input_o ; +wire \SW_ROT_B~input_o ; +wire \SW_R~input_o ; +wire \SW_SEL~input_o ; +wire \SW_U~input_o ; +//wire \SYSOFF~output_o ; +//wire \TP_D~output_o ; +//wire \TP_L~output_o ; +//wire \TP_R~output_o ; +//wire \TP_U~output_o ; +wire \audio_reset_q~0_combout ; +wire \audio_reset_q~q ; +//wire devclrn; +tri1 devclrn; +//wire devoe; +tri1 devoe; +//wire devpor; +tri1 devpor; +wire \lcd_backlight_q~feeder_combout ; +wire \lcd_backlight_q~q ; +wire [7:0] lcd_data_in_q; +//wire lcd_data_in_q[0]; +//wire lcd_data_in_q[1]; +//wire lcd_data_in_q[2]; +//wire lcd_data_in_q[3]; +//wire lcd_data_in_q[4]; +//wire lcd_data_in_q[5]; +//wire lcd_data_in_q[6]; +//wire lcd_data_in_q[7]; +wire [7:0] lcd_data_out_q; +//wire lcd_data_out_q[0]; +wire \lcd_data_out_q[0]~feeder_combout ; +//wire lcd_data_out_q[1]; +wire \lcd_data_out_q[1]~feeder_combout ; +//wire lcd_data_out_q[2]; +wire \lcd_data_out_q[2]~feeder_combout ; +//wire lcd_data_out_q[3]; +//wire lcd_data_out_q[4]; +wire \lcd_data_out_q[4]~feeder_combout ; +//wire lcd_data_out_q[5]; +//wire lcd_data_out_q[6]; +//wire lcd_data_out_q[7]; +wire \lcd_data_out_q[7]~feeder_combout ; +wire \lcd_reset_q~0_combout ; +wire \lcd_reset_q~1_combout ; +wire \lcd_reset_q~q ; +wire \mcu_data_out[0]~0_combout ; +wire \mcu_data_out[0]~1_combout ; +wire \mcu_data_out[1]~2_combout ; +wire \mcu_data_out[1]~3_combout ; +wire \mcu_data_out[2]~4_combout ; +wire \mcu_data_out[2]~5_combout ; +wire \mcu_data_out[3]~6_combout ; +wire \mcu_data_out[3]~7_combout ; +wire \mcu_data_out[4]~8_combout ; +wire \mcu_data_out[4]~9_combout ; +wire \mcu_data_out[5]~10_combout ; +wire \mcu_data_out[5]~11_combout ; +wire \mcu_data_out[6]~12_combout ; +wire \mcu_data_out[6]~13_combout ; +wire \mcu_data_out[7]~14_combout ; +wire \mcu_data_out[7]~15_combout ; +wire \ref_en_q~feeder_combout ; +wire \ref_en_q~q ; +wire \sysoff_q~feeder_combout ; +wire \sysoff_q~q ; +wire [7:0] tp_q; +//wire tp_q[0]; +//wire tp_q[1]; +//wire tp_q[2]; +wire \tp_q[2]~feeder_combout ; +//wire tp_q[3]; +wire \tp_q[3]~0_combout ; +//wire tp_q[4]; +wire \tp_q[4]~feeder_combout ; +//wire tp_q[5]; +//wire tp_q[6]; +wire \tp_q[6]~feeder_combout ; +//wire tp_q[7]; +wire \tp_q[7]~feeder_combout ; +wire unknown; +wire unknown; +wire \~ALTERA_ASDO_DATA1~~ibuf_o ; +wire \~ALTERA_ASDO_DATA1~~padout ; +wire \~ALTERA_DATA0~~ibuf_o ; +wire \~ALTERA_DATA0~~padout ; +wire \~ALTERA_FLASH_nCE_nCSO~~ibuf_o ; +wire \~ALTERA_FLASH_nCE_nCSO~~padout ; + +wire vcc; +wire gnd; +assign vcc = 1'b1; +assign gnd = 1'b0; + +// Location: IOIBUF_X0_Y10_N0 +// alta_io_ibuf \SW_L~input ( +alta_io \SW_L~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\SW_L~input_o ), + .regout(), + .padio(SW_L)); +defparam \SW_L~input .CFG_KEEP = 2'b00; +// defparam \SW_L~input .simulate_z_as = "z"; + +// Location: IOIBUF_X0_Y10_N1 +// alta_io_ibuf \SW_R~input ( +alta_io \SW_R~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\SW_R~input_o ), + .regout(), + .padio(SW_R)); +defparam \SW_R~input .CFG_KEEP = 2'b00; +// defparam \SW_R~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y11_N0 +// alta_io_obuf \TP_U~output ( +alta_io \TP_U~output ( + .datain(tp_q[3]), + .oe(tp_q[7]), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(TP_U)); +defparam \TP_U~output .CFG_KEEP = 2'b00; +// defparam \TP_U~output .open_drain_output = "false"; + +// Location: IOOBUF_X0_Y11_N1 +// alta_io_obuf \TP_L~output ( +alta_io \TP_L~output ( + .datain(tp_q[1]), + .oe(tp_q[5]), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(TP_L)); +defparam \TP_L~output .CFG_KEEP = 2'b00; +// defparam \TP_L~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y14_N1 +// alta_io_ibuf \SW_ROT_B~input ( +alta_io \SW_ROT_B~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\SW_ROT_B~input_o ), + .regout(), + .padio(SW_ROT_B)); +defparam \SW_ROT_B~input .CFG_KEEP = 2'b00; +// defparam \SW_ROT_B~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y15_N2 +// alta_io_obuf \TP_R~output ( +alta_io \TP_R~output ( + .datain(tp_q[0]), + .oe(tp_q[4]), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(TP_R)); +defparam \TP_R~output .CFG_KEEP = 2'b00; +// defparam \TP_R~output .open_drain_output = "false"; + +// Location: IOOBUF_X0_Y15_N3 +// alta_io_obuf \TP_D~output ( +alta_io \TP_D~output ( + .datain(tp_q[2]), + .oe(tp_q[6]), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(TP_D)); +defparam \TP_D~output .CFG_KEEP = 2'b00; +// defparam \TP_D~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y16_N1 +// alta_io_ibuf \MCU_D[1]~input ( +// Location: IOOBUF_X0_Y16_N1 +// alta_io_obuf \MCU_D[1]~output ( +alta_io \MCU_D[1]~output ( + .datain(\mcu_data_out[1]~3_combout ), + .oe(\MCU_DIR~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_D[1]~input_o ), + .regout(), + .padio(MCU_D[1])); +defparam \MCU_D[1]~output .CFG_KEEP = 2'b00; +// defparam \MCU_D[1]~input .simulate_z_as = "z"; +// defparam \MCU_D[1]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y16_N2 +// alta_io_ibuf \MCU_D[2]~input ( +// Location: IOOBUF_X0_Y16_N2 +// alta_io_obuf \MCU_D[2]~output ( +alta_io \MCU_D[2]~output ( + .datain(\mcu_data_out[2]~5_combout ), + .oe(\MCU_DIR~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_D[2]~input_o ), + .regout(), + .padio(MCU_D[2])); +defparam \MCU_D[2]~output .CFG_KEEP = 2'b00; +// defparam \MCU_D[2]~input .simulate_z_as = "z"; +// defparam \MCU_D[2]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y16_N3 +// alta_io_ibuf \MCU_DIR~input ( +alta_io \MCU_DIR~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_DIR~input_o ), + .regout(), + .padio(MCU_DIR)); +defparam \MCU_DIR~input .CFG_KEEP = 2'b00; +// defparam \MCU_DIR~input .simulate_z_as = "z"; + +// Location: IOIBUF_X0_Y17_N1 +// alta_io_ibuf \MCU_D[0]~input ( +// Location: IOOBUF_X0_Y17_N1 +// alta_io_obuf \MCU_D[0]~output ( +alta_io \MCU_D[0]~output ( + .datain(\mcu_data_out[0]~1_combout ), + .oe(\MCU_DIR~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_D[0]~input_o ), + .regout(), + .padio(MCU_D[0])); +defparam \MCU_D[0]~output .CFG_KEEP = 2'b00; +// defparam \MCU_D[0]~input .simulate_z_as = "z"; +// defparam \MCU_D[0]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y18_N2 +// alta_io_ibuf \MCU_D[6]~input ( +// Location: IOOBUF_X0_Y18_N2 +// alta_io_obuf \MCU_D[6]~output ( +alta_io \MCU_D[6]~output ( + .datain(\mcu_data_out[6]~13_combout ), + .oe(\MCU_DIR~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_D[6]~input_o ), + .regout(), + .padio(MCU_D[6])); +defparam \MCU_D[6]~output .CFG_KEEP = 2'b00; +// defparam \MCU_D[6]~input .simulate_z_as = "z"; +// defparam \MCU_D[6]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y18_N3 +// alta_io_ibuf \MCU_D[7]~input ( +// Location: IOOBUF_X0_Y18_N3 +// alta_io_obuf \MCU_D[7]~output ( +alta_io \MCU_D[7]~output ( + .datain(\mcu_data_out[7]~15_combout ), + .oe(\MCU_DIR~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_D[7]~input_o ), + .regout(), + .padio(MCU_D[7])); +defparam \MCU_D[7]~output .CFG_KEEP = 2'b00; +// defparam \MCU_D[7]~input .simulate_z_as = "z"; +// defparam \MCU_D[7]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y19_N0 +// alta_io_ibuf \LCD_DB[8]~input ( +// Location: IOOBUF_X0_Y19_N0 +// alta_io_obuf \LCD_DB[8]~output ( +alta_io \LCD_DB[8]~output ( + .datain(lcd_data_out_q[0]), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[8]~input_o ), + .regout(), + .padio(LCD_DB[8])); +defparam \LCD_DB[8]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[8]~input .simulate_z_as = "z"; +// defparam \LCD_DB[8]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y19_N2 +// alta_io_ibuf \LCD_DB[0]~input ( +// Location: IOOBUF_X0_Y19_N2 +// alta_io_obuf \LCD_DB[0]~output ( +alta_io \LCD_DB[0]~output ( + .datain(\MCU_D[0]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[0]~input_o ), + .regout(), + .padio(LCD_DB[0])); +defparam \LCD_DB[0]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[0]~input .simulate_z_as = "z"; +// defparam \LCD_DB[0]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y19_N3 +// alta_io_ibuf \LCD_DB[6]~input ( +// Location: IOOBUF_X0_Y19_N3 +// alta_io_obuf \LCD_DB[6]~output ( +alta_io \LCD_DB[6]~output ( + .datain(\MCU_D[6]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[6]~input_o ), + .regout(), + .padio(LCD_DB[6])); +defparam \LCD_DB[6]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[6]~input .simulate_z_as = "z"; +// defparam \LCD_DB[6]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y20_N0 +// alta_io_ibuf \LCD_DB[14]~input ( +// Location: IOOBUF_X0_Y20_N0 +// alta_io_obuf \LCD_DB[14]~output ( +alta_io \LCD_DB[14]~output ( + .datain(lcd_data_out_q[6]), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[14]~input_o ), + .regout(), + .padio(LCD_DB[14])); +defparam \LCD_DB[14]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[14]~input .simulate_z_as = "z"; +// defparam \LCD_DB[14]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y20_N1 +// alta_io_ibuf \LCD_DB[15]~input ( +// Location: IOOBUF_X0_Y20_N1 +// alta_io_obuf \LCD_DB[15]~output ( +alta_io \LCD_DB[15]~output ( + .datain(lcd_data_out_q[7]), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[15]~input_o ), + .regout(), + .padio(LCD_DB[15])); +defparam \LCD_DB[15]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[15]~input .simulate_z_as = "z"; +// defparam \LCD_DB[15]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y21_N0 +// alta_io_ibuf \LCD_DB[7]~input ( +// Location: IOOBUF_X0_Y21_N0 +// alta_io_obuf \LCD_DB[7]~output ( +alta_io \LCD_DB[7]~output ( + .datain(\MCU_D[7]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[7]~input_o ), + .regout(), + .padio(LCD_DB[7])); +defparam \LCD_DB[7]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[7]~input .simulate_z_as = "z"; +// defparam \LCD_DB[7]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y21_N1 +// alta_io_ibuf \LCD_DB[1]~input ( +// Location: IOOBUF_X0_Y21_N1 +// alta_io_obuf \LCD_DB[1]~output ( +alta_io \LCD_DB[1]~output ( + .datain(\MCU_D[1]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[1]~input_o ), + .regout(), + .padio(LCD_DB[1])); +defparam \LCD_DB[1]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[1]~input .simulate_z_as = "z"; +// defparam \LCD_DB[1]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y22_N1 +// alta_io_ibuf \MCU_D[5]~input ( +// Location: IOOBUF_X0_Y22_N1 +// alta_io_obuf \MCU_D[5]~output ( +alta_io \MCU_D[5]~output ( + .datain(\mcu_data_out[5]~11_combout ), + .oe(\MCU_DIR~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_D[5]~input_o ), + .regout(), + .padio(MCU_D[5])); +defparam \MCU_D[5]~output .CFG_KEEP = 2'b00; +// defparam \MCU_D[5]~input .simulate_z_as = "z"; +// defparam \MCU_D[5]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y23_N0 +// alta_io_ibuf \MCU_D[3]~input ( +// Location: IOOBUF_X0_Y23_N0 +// alta_io_obuf \MCU_D[3]~output ( +alta_io \MCU_D[3]~output ( + .datain(\mcu_data_out[3]~7_combout ), + .oe(\MCU_DIR~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_D[3]~input_o ), + .regout(), + .padio(MCU_D[3])); +defparam \MCU_D[3]~output .CFG_KEEP = 2'b00; +// defparam \MCU_D[3]~input .simulate_z_as = "z"; +// defparam \MCU_D[3]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y23_N1 +// alta_io_ibuf \MCU_D[4]~input ( +// Location: IOOBUF_X0_Y23_N1 +// alta_io_obuf \MCU_D[4]~output ( +alta_io \MCU_D[4]~output ( + .datain(\mcu_data_out[4]~9_combout ), + .oe(\MCU_DIR~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_D[4]~input_o ), + .regout(), + .padio(MCU_D[4])); +defparam \MCU_D[4]~output .CFG_KEEP = 2'b00; +// defparam \MCU_D[4]~input .simulate_z_as = "z"; +// defparam \MCU_D[4]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y24_N0 +// alta_io_ibuf \LCD_DB[2]~input ( +// Location: IOOBUF_X0_Y24_N0 +// alta_io_obuf \LCD_DB[2]~output ( +alta_io \LCD_DB[2]~output ( + .datain(\MCU_D[2]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[2]~input_o ), + .regout(), + .padio(LCD_DB[2])); +defparam \LCD_DB[2]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[2]~input .simulate_z_as = "z"; +// defparam \LCD_DB[2]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y24_N1 +// alta_io_ibuf \LCD_DB[9]~input ( +// Location: IOOBUF_X0_Y24_N1 +// alta_io_obuf \LCD_DB[9]~output ( +alta_io \LCD_DB[9]~output ( + .datain(lcd_data_out_q[1]), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[9]~input_o ), + .regout(), + .padio(LCD_DB[9])); +defparam \LCD_DB[9]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[9]~input .simulate_z_as = "z"; +// defparam \LCD_DB[9]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y24_N3 +// alta_io_ibuf \LCD_DB[10]~input ( +// Location: IOOBUF_X0_Y24_N3 +// alta_io_obuf \LCD_DB[10]~output ( +alta_io \LCD_DB[10]~output ( + .datain(lcd_data_out_q[2]), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[10]~input_o ), + .regout(), + .padio(LCD_DB[10])); +defparam \LCD_DB[10]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[10]~input .simulate_z_as = "z"; +// defparam \LCD_DB[10]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y25_N2 +// alta_io_ibuf \SW_D~input ( +alta_io \SW_D~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\SW_D~input_o ), + .regout(), + .padio(SW_D)); +defparam \SW_D~input .CFG_KEEP = 2'b00; +// defparam \SW_D~input .simulate_z_as = "z"; + +// Location: IOIBUF_X0_Y26_N0 +// alta_io_ibuf \LCD_DB[13]~input ( +// Location: IOOBUF_X0_Y26_N0 +// alta_io_obuf \LCD_DB[13]~output ( +alta_io \LCD_DB[13]~output ( + .datain(lcd_data_out_q[5]), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[13]~input_o ), + .regout(), + .padio(LCD_DB[13])); +defparam \LCD_DB[13]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[13]~input .simulate_z_as = "z"; +// defparam \LCD_DB[13]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y26_N1 +// alta_io_ibuf \LCD_DB[5]~input ( +// Location: IOOBUF_X0_Y26_N1 +// alta_io_obuf \LCD_DB[5]~output ( +alta_io \LCD_DB[5]~output ( + .datain(\MCU_D[5]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[5]~input_o ), + .regout(), + .padio(LCD_DB[5])); +defparam \LCD_DB[5]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[5]~input .simulate_z_as = "z"; +// defparam \LCD_DB[5]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y26_N2 +// alta_io_ibuf \LCD_DB[3]~input ( +// Location: IOOBUF_X0_Y26_N2 +// alta_io_obuf \LCD_DB[3]~output ( +alta_io \LCD_DB[3]~output ( + .datain(\MCU_D[3]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[3]~input_o ), + .regout(), + .padio(LCD_DB[3])); +defparam \LCD_DB[3]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[3]~input .simulate_z_as = "z"; +// defparam \LCD_DB[3]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y26_N3 +// alta_io_ibuf \LCD_DB[4]~input ( +// Location: IOOBUF_X0_Y26_N3 +// alta_io_obuf \LCD_DB[4]~output ( +alta_io \LCD_DB[4]~output ( + .datain(\MCU_D[4]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[4]~input_o ), + .regout(), + .padio(LCD_DB[4])); +defparam \LCD_DB[4]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[4]~input .simulate_z_as = "z"; +// defparam \LCD_DB[4]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y28_N1 +// alta_io_ibuf \SW_ROT_A~input ( +alta_io \SW_ROT_A~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\SW_ROT_A~input_o ), + .regout(), + .padio(SW_ROT_A)); +defparam \SW_ROT_A~input .CFG_KEEP = 2'b00; +// defparam \SW_ROT_A~input .simulate_z_as = "z"; + +// Location: IOIBUF_X0_Y28_N2 +// alta_io_ibuf \SW_U~input ( +alta_io \SW_U~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\SW_U~input_o ), + .regout(), + .padio(SW_U)); +defparam \SW_U~input .CFG_KEEP = 2'b00; +// defparam \SW_U~input .simulate_z_as = "z"; + +// Location: IOIBUF_X0_Y29_N0 +// alta_io_ibuf \LCD_DB[11]~input ( +// Location: IOOBUF_X0_Y29_N0 +// alta_io_obuf \LCD_DB[11]~output ( +alta_io \LCD_DB[11]~output ( + .datain(lcd_data_out_q[3]), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[11]~input_o ), + .regout(), + .padio(LCD_DB[11])); +defparam \LCD_DB[11]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[11]~input .simulate_z_as = "z"; +// defparam \LCD_DB[11]~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y29_N1 +// alta_io_ibuf \LCD_DB[12]~input ( +// Location: IOOBUF_X0_Y29_N1 +// alta_io_obuf \LCD_DB[12]~output ( +alta_io \LCD_DB[12]~output ( + .datain(lcd_data_out_q[4]), + .oe(\MCU_LCD_RDX~input_o ), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_DB[12]~input_o ), + .regout(), + .padio(LCD_DB[12])); +defparam \LCD_DB[12]~output .CFG_KEEP = 2'b00; +// defparam \LCD_DB[12]~input .simulate_z_as = "z"; +// defparam \LCD_DB[12]~output .open_drain_output = "false"; + +// Location: IOOBUF_X0_Y29_N2 +// alta_io_obuf \LCD_RDX~output ( +alta_io \LCD_RDX~output ( + .datain(\MCU_LCD_RDX~input_o ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(LCD_RDX)); +defparam \LCD_RDX~output .CFG_KEEP = 2'b00; +// defparam \LCD_RDX~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y29_N3 +// alta_io_ibuf \SW_SEL~input ( +alta_io \SW_SEL~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\SW_SEL~input_o ), + .regout(), + .padio(SW_SEL)); +defparam \SW_SEL~input .CFG_KEEP = 2'b00; +// defparam \SW_SEL~input .simulate_z_as = "z"; + +// Location: IOIBUF_X0_Y30_N1 +// alta_io_ibuf \MCU_IO_STBX~input ( +alta_io \MCU_IO_STBX~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_IO_STBX~input_o ), + .regout(), + .padio(MCU_IO_STBX)); +defparam \MCU_IO_STBX~input .CFG_KEEP = 2'b00; +// defparam \MCU_IO_STBX~input .simulate_z_as = "z"; + +// Location: IOIBUF_X0_Y30_N2 +// alta_io_ibuf \MCU_LCD_RDX~input ( +alta_io \MCU_LCD_RDX~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_LCD_RDX~input_o ), + .regout(), + .padio(MCU_LCD_RDX)); +defparam \MCU_LCD_RDX~input .CFG_KEEP = 2'b00; +// defparam \MCU_LCD_RDX~input .simulate_z_as = "z"; + +// Location: IOIBUF_X0_Y30_N3 +// alta_io_ibuf \MCU_LCD_WRX~input ( +alta_io \MCU_LCD_WRX~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_LCD_WRX~input_o ), + .regout(), + .padio(MCU_LCD_WRX)); +defparam \MCU_LCD_WRX~input .CFG_KEEP = 2'b00; +// defparam \MCU_LCD_WRX~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y37_N0 +// alta_io_obuf \LCD_WRX~output ( +alta_io \LCD_WRX~output ( + .datain(\MCU_LCD_WRX~input_o ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(LCD_WRX)); +defparam \LCD_WRX~output .CFG_KEEP = 2'b00; +// defparam \LCD_WRX~output .open_drain_output = "false"; + +// Location: IOOBUF_X0_Y4_N2 +// alta_io_obuf \LCD_RS~output ( +alta_io \LCD_RS~output ( + .datain(\MCU_ADDR~input_o ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(LCD_RS)); +defparam \LCD_RS~output .CFG_KEEP = 2'b00; +// defparam \LCD_RS~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y4_N3 +// alta_io_ibuf \MCU_ADDR~input ( +alta_io \MCU_ADDR~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_ADDR~input_o ), + .regout(), + .padio(MCU_ADDR)); +defparam \MCU_ADDR~input .CFG_KEEP = 2'b00; +// defparam \MCU_ADDR~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y6_N2 +// alta_io_obuf \MCU_LCD_TE~output ( +alta_io \MCU_LCD_TE~output ( + .datain(\LCD_TE~input_o ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(MCU_LCD_TE)); +defparam \MCU_LCD_TE~output .CFG_KEEP = 2'b00; +// defparam \MCU_LCD_TE~output .open_drain_output = "false"; + +// Location: IOIBUF_X0_Y6_N3 +// alta_io_ibuf \LCD_TE~input ( +alta_io \LCD_TE~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\LCD_TE~input_o ), + .regout(), + .padio(LCD_TE)); +defparam \LCD_TE~input .CFG_KEEP = 2'b00; +// defparam \LCD_TE~input .simulate_z_as = "z"; + +// Location: IOOBUF_X0_Y7_N0 +// alta_io_obuf \LCD_RESETX~output ( +alta_io \LCD_RESETX~output ( + .datain(\lcd_reset_q~q ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(LCD_RESETX)); +defparam \LCD_RESETX~output .CFG_KEEP = 2'b00; +// defparam \LCD_RESETX~output .open_drain_output = "false"; + +// Location: IOOBUF_X0_Y7_N1 +// alta_io_obuf \REF_EN~output ( +alta_io \REF_EN~output ( + .datain(\ref_en_q~q ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(REF_EN)); +defparam \REF_EN~output .CFG_KEEP = 2'b00; +// defparam \REF_EN~output .open_drain_output = "false"; + +// Location: IOOBUF_X0_Y8_N3 +// alta_io_obuf \LCD_BACKLIGHT~output ( +alta_io \LCD_BACKLIGHT~output ( + .datain(\lcd_backlight_q~q ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(LCD_BACKLIGHT)); +defparam \LCD_BACKLIGHT~output .CFG_KEEP = 2'b00; +// defparam \LCD_BACKLIGHT~output .open_drain_output = "false"; + +// Location: IOOBUF_X0_Y9_N1 +// alta_io_obuf \SYSOFF~output ( +alta_io \SYSOFF~output ( + .datain(\sysoff_q~q ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(SYSOFF)); +defparam \SYSOFF~output .CFG_KEEP = 2'b00; +// defparam \SYSOFF~output .open_drain_output = "false"; + +// Location: IOOBUF_X0_Y9_N2 +// alta_io_obuf \AUDIO_RESETX~output ( +alta_io \AUDIO_RESETX~output ( + .datain(\audio_reset_q~q ), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(AUDIO_RESETX)); +defparam \AUDIO_RESETX~output .CFG_KEEP = 2'b00; +// defparam \AUDIO_RESETX~output .open_drain_output = "false"; + +// Location: IOIBUF_X18_Y62_N2 +// alta_io_ibuf \MCU_P2_8~input ( +alta_io \MCU_P2_8~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\MCU_P2_8~input_o ), + .regout(), + .padio(MCU_P2_8)); +defparam \MCU_P2_8~input .CFG_KEEP = 2'b00; +// defparam \MCU_P2_8~input .simulate_z_as = "z"; + +// Location: IOIBUF_X23_Y62_N3 +// alta_io_ibuf \GPS_TX_READY~input ( +alta_io \GPS_TX_READY~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\GPS_TX_READY~input_o ), + .regout(), + .padio(GPS_TX_READY)); +defparam \GPS_TX_READY~input .CFG_KEEP = 2'b00; +// defparam \GPS_TX_READY~input .simulate_z_as = "z"; + +// Location: IOIBUF_X51_Y0_N0 +// alta_io_ibuf \DEVICE_RESET~input ( +alta_io \DEVICE_RESET~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\DEVICE_RESET~input_o ), + .regout(), + .padio(DEVICE_RESET)); +defparam \DEVICE_RESET~input .CFG_KEEP = 2'b00; +// defparam \DEVICE_RESET~input .simulate_z_as = "z"; + +// Location: IOIBUF_X56_Y62_N0 +// alta_io_ibuf \GPS_TIMEPULSE~input ( +alta_io \GPS_TIMEPULSE~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\GPS_TIMEPULSE~input_o ), + .regout(), + .padio(GPS_TIMEPULSE)); +defparam \GPS_TIMEPULSE~input .CFG_KEEP = 2'b00; +// defparam \GPS_TIMEPULSE~input .simulate_z_as = "z"; + +// Location: IOIBUF_X78_Y0_N1 +// alta_io_ibuf \DEVICE_RESET_V~input ( +alta_io \DEVICE_RESET_V~input ( + .datain(gnd), + .oe(gnd), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(\DEVICE_RESET_V~input_o ), + .regout(), + .padio(DEVICE_RESET_V)); +defparam \DEVICE_RESET_V~input .CFG_KEEP = 2'b00; +// defparam \DEVICE_RESET_V~input .simulate_z_as = "z"; + +// Location: IOOBUF_X94_Y9_N2 +// alta_io_obuf \GPS_RESETX~output ( +alta_io \GPS_RESETX~output ( + .datain(vcc), + .oe(vcc), + .outclk(gnd), + .outclkena(vcc), + .inclk(gnd), + .inclkena(vcc), + .areset(gnd), + .sreset(gnd), + .combout(), + .regout(), + .padio(GPS_RESETX)); +defparam \GPS_RESETX~output .CFG_KEEP = 2'b00; +// defparam \GPS_RESETX~output .open_drain_output = "false"; + +// Location: CLKCTRL_G2 +alta_io_gclk \MCU_IO_STBX~inputclkctrl ( + .inclk (\MCU_IO_STBX~input_o ), + .outclk(\MCU_IO_STBX~inputclkctrl_outclk )); +//defparam \MCU_IO_STBX~inputclkctrl .clock_type = "global clock"; +//defparam \MCU_IO_STBX~inputclkctrl .ena_register_mode = "none"; + +// Location: CLKCTRL_G3 +alta_io_gclk \MCU_LCD_WRX~inputclkctrl ( + .inclk (\MCU_LCD_WRX~input_o ), + .outclk(\MCU_LCD_WRX~inputclkctrl_outclk )); +//defparam \MCU_LCD_WRX~inputclkctrl .clock_type = "global clock"; +//defparam \MCU_LCD_WRX~inputclkctrl .ena_register_mode = "none"; + +// Location: CLKCTRL_G4 +alta_io_gclk \MCU_LCD_RDX~inputclkctrl ( + .inclk (\MCU_LCD_RDX~input_o ), + .outclk(\MCU_LCD_RDX~inputclkctrl_outclk )); +//defparam \MCU_LCD_RDX~inputclkctrl .clock_type = "global clock"; +//defparam \MCU_LCD_RDX~inputclkctrl .ena_register_mode = "none"; + +// Location: LCCOMB_X1_Y15_N10 +// alta_lcell_comb \lcd_reset_q~0 ( +alta_slice \lcd_reset_q~0 ( + .A(vcc), + .B(vcc), + .C(\MCU_ADDR~input_o ), + .D(\MCU_DIR~input_o ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\lcd_reset_q~0_combout ), + .Cout(), + .Q()); +defparam \lcd_reset_q~0 .mask = 16'h00F0; +defparam \lcd_reset_q~0 .mode = "logic"; +defparam \lcd_reset_q~0 .modeMux = 1'b0; +defparam \lcd_reset_q~0 .FeedbackMux = 1'b0; +defparam \lcd_reset_q~0 .ShiftMux = 1'b0; +defparam \lcd_reset_q~0 .BypassEn = 1'b0; +defparam \lcd_reset_q~0 .CarryEnb = 1'b1; +defparam \lcd_reset_q~0 .AsyncResetMux = 2'bxx; +defparam \lcd_reset_q~0 .SyncResetMux = 2'bxx; +defparam \lcd_reset_q~0 .SyncLoadMux = 2'bxx; +// Location: FF_X1_Y15_N12 +// alta_lcell_ff \tp_q[3] ( +alta_slice \tp_q[3] ( + .A(), + .B(), + .C(\MCU_D[3]~input_o ), + .D(), + .Cin(), + .Qin(tp_q[3]), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(SyncReset_X1_Y15_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y15_VCC), + .LutOut(), + .Cout(), + .Q(tp_q[3])); +defparam \tp_q[3] .mask = 16'hFFFF; +defparam \tp_q[3] .mode = "ripple"; +defparam \tp_q[3] .modeMux = 1'b1; +defparam \tp_q[3] .FeedbackMux = 1'b0; +defparam \tp_q[3] .ShiftMux = 1'b0; +defparam \tp_q[3] .BypassEn = 1'b1; +defparam \tp_q[3] .CarryEnb = 1'b1; +defparam \tp_q[3] .AsyncResetMux = 2'b00; +defparam \tp_q[3] .SyncResetMux = 2'b00; +defparam \tp_q[3] .SyncLoadMux = 2'b01; +// Location: FF_X1_Y15_N14 +// alta_lcell_ff \tp_q[4] ( +// Location: LCCOMB_X1_Y15_N14 +// alta_lcell_comb \tp_q[4]~feeder ( +alta_slice \tp_q[4] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[4]~input_o ), + .Cin(), + .Qin(tp_q[4]), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\tp_q[4]~feeder_combout ), + .Cout(), + .Q(tp_q[4])); +defparam \tp_q[4] .mask = 16'hFF00; +defparam \tp_q[4] .mode = "logic"; +defparam \tp_q[4] .modeMux = 1'b0; +defparam \tp_q[4] .FeedbackMux = 1'b0; +defparam \tp_q[4] .ShiftMux = 1'b0; +defparam \tp_q[4] .BypassEn = 1'b0; +defparam \tp_q[4] .CarryEnb = 1'b1; +defparam \tp_q[4] .AsyncResetMux = 2'b00; +defparam \tp_q[4] .SyncResetMux = 2'bxx; +defparam \tp_q[4] .SyncLoadMux = 2'bxx; +// Location: FF_X1_Y15_N16 +// alta_lcell_ff lcd_backlight_q( +// Location: LCCOMB_X1_Y15_N16 +// alta_lcell_comb \lcd_backlight_q~feeder ( +alta_slice lcd_backlight_q( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[7]~input_o ), + .Cin(), + .Qin(\lcd_backlight_q~q ), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__lcd_reset_q~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\lcd_backlight_q~feeder_combout ), + .Cout(), + .Q(\lcd_backlight_q~q )); +defparam lcd_backlight_q.mask = 16'hFF00; +defparam lcd_backlight_q.mode = "logic"; +defparam lcd_backlight_q.modeMux = 1'b0; +defparam lcd_backlight_q.FeedbackMux = 1'b0; +defparam lcd_backlight_q.ShiftMux = 1'b0; +defparam lcd_backlight_q.BypassEn = 1'b0; +defparam lcd_backlight_q.CarryEnb = 1'b1; +defparam lcd_backlight_q.AsyncResetMux = 2'b00; +defparam lcd_backlight_q.SyncResetMux = 2'bxx; +defparam lcd_backlight_q.SyncLoadMux = 2'bxx; +// Location: FF_X1_Y15_N18 +// alta_lcell_ff \tp_q[0] ( +alta_slice \tp_q[0] ( + .A(), + .B(), + .C(\MCU_D[0]~input_o ), + .D(), + .Cin(), + .Qin(tp_q[0]), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(SyncReset_X1_Y15_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y15_VCC), + .LutOut(), + .Cout(), + .Q(tp_q[0])); +defparam \tp_q[0] .mask = 16'hFFFF; +defparam \tp_q[0] .mode = "ripple"; +defparam \tp_q[0] .modeMux = 1'b1; +defparam \tp_q[0] .FeedbackMux = 1'b0; +defparam \tp_q[0] .ShiftMux = 1'b0; +defparam \tp_q[0] .BypassEn = 1'b1; +defparam \tp_q[0] .CarryEnb = 1'b1; +defparam \tp_q[0] .AsyncResetMux = 2'b00; +defparam \tp_q[0] .SyncResetMux = 2'b00; +defparam \tp_q[0] .SyncLoadMux = 2'b01; +// Location: FF_X1_Y15_N2 +// alta_lcell_ff lcd_reset_q( +// Location: LCCOMB_X1_Y15_N2 +// alta_lcell_comb \lcd_reset_q~1 ( +alta_slice lcd_reset_q( + .A(vcc), + .B(vcc), + .C(\MCU_D[0]~input_o ), + .D(vcc), + .Cin(), + .Qin(\lcd_reset_q~q ), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__lcd_reset_q~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\lcd_reset_q~1_combout ), + .Cout(), + .Q(\lcd_reset_q~q )); +defparam lcd_reset_q.mask = 16'h0F0F; +defparam lcd_reset_q.mode = "logic"; +defparam lcd_reset_q.modeMux = 1'b0; +defparam lcd_reset_q.FeedbackMux = 1'b0; +defparam lcd_reset_q.ShiftMux = 1'b0; +defparam lcd_reset_q.BypassEn = 1'b0; +defparam lcd_reset_q.CarryEnb = 1'b1; +defparam lcd_reset_q.AsyncResetMux = 2'b00; +defparam lcd_reset_q.SyncResetMux = 2'bxx; +defparam lcd_reset_q.SyncLoadMux = 2'bxx; +// Location: FF_X1_Y15_N20 +// alta_lcell_ff \tp_q[1] ( +alta_slice \tp_q[1] ( + .A(), + .B(), + .C(\MCU_D[1]~input_o ), + .D(), + .Cin(), + .Qin(tp_q[1]), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(SyncReset_X1_Y15_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y15_VCC), + .LutOut(), + .Cout(), + .Q(tp_q[1])); +defparam \tp_q[1] .mask = 16'hFFFF; +defparam \tp_q[1] .mode = "ripple"; +defparam \tp_q[1] .modeMux = 1'b1; +defparam \tp_q[1] .FeedbackMux = 1'b0; +defparam \tp_q[1] .ShiftMux = 1'b0; +defparam \tp_q[1] .BypassEn = 1'b1; +defparam \tp_q[1] .CarryEnb = 1'b1; +defparam \tp_q[1] .AsyncResetMux = 2'b00; +defparam \tp_q[1] .SyncResetMux = 2'b00; +defparam \tp_q[1] .SyncLoadMux = 2'b01; +// Location: FF_X1_Y15_N22 +// alta_lcell_ff audio_reset_q( +// Location: LCCOMB_X1_Y15_N22 +// alta_lcell_comb \audio_reset_q~0 ( +alta_slice audio_reset_q( + .A(vcc), + .B(vcc), + .C(\MCU_D[1]~input_o ), + .D(vcc), + .Cin(), + .Qin(\audio_reset_q~q ), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__lcd_reset_q~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\audio_reset_q~0_combout ), + .Cout(), + .Q(\audio_reset_q~q )); +defparam audio_reset_q.mask = 16'h0F0F; +defparam audio_reset_q.mode = "logic"; +defparam audio_reset_q.modeMux = 1'b0; +defparam audio_reset_q.FeedbackMux = 1'b0; +defparam audio_reset_q.ShiftMux = 1'b0; +defparam audio_reset_q.BypassEn = 1'b0; +defparam audio_reset_q.CarryEnb = 1'b1; +defparam audio_reset_q.AsyncResetMux = 2'b00; +defparam audio_reset_q.SyncResetMux = 2'bxx; +defparam audio_reset_q.SyncLoadMux = 2'bxx; +// Location: FF_X1_Y15_N24 +// alta_lcell_ff \tp_q[7] ( +// Location: LCCOMB_X1_Y15_N24 +// alta_lcell_comb \tp_q[7]~feeder ( +alta_slice \tp_q[7] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[7]~input_o ), + .Cin(), + .Qin(tp_q[7]), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\tp_q[7]~feeder_combout ), + .Cout(), + .Q(tp_q[7])); +defparam \tp_q[7] .mask = 16'hFF00; +defparam \tp_q[7] .mode = "logic"; +defparam \tp_q[7] .modeMux = 1'b0; +defparam \tp_q[7] .FeedbackMux = 1'b0; +defparam \tp_q[7] .ShiftMux = 1'b0; +defparam \tp_q[7] .BypassEn = 1'b0; +defparam \tp_q[7] .CarryEnb = 1'b1; +defparam \tp_q[7] .AsyncResetMux = 2'b00; +defparam \tp_q[7] .SyncResetMux = 2'bxx; +defparam \tp_q[7] .SyncLoadMux = 2'bxx; +// Location: FF_X1_Y15_N26 +// alta_lcell_ff \tp_q[2] ( +// Location: LCCOMB_X1_Y15_N26 +// alta_lcell_comb \tp_q[2]~feeder ( +alta_slice \tp_q[2] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[2]~input_o ), + .Cin(), + .Qin(tp_q[2]), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\tp_q[2]~feeder_combout ), + .Cout(), + .Q(tp_q[2])); +defparam \tp_q[2] .mask = 16'hFF00; +defparam \tp_q[2] .mode = "logic"; +defparam \tp_q[2] .modeMux = 1'b0; +defparam \tp_q[2] .FeedbackMux = 1'b0; +defparam \tp_q[2] .ShiftMux = 1'b0; +defparam \tp_q[2] .BypassEn = 1'b0; +defparam \tp_q[2] .CarryEnb = 1'b1; +defparam \tp_q[2] .AsyncResetMux = 2'b00; +defparam \tp_q[2] .SyncResetMux = 2'bxx; +defparam \tp_q[2] .SyncLoadMux = 2'bxx; +// Location: FF_X1_Y15_N28 +// alta_lcell_ff \tp_q[5] ( +alta_slice \tp_q[5] ( + .A(), + .B(), + .C(\MCU_D[5]~input_o ), + .D(), + .Cin(), + .Qin(tp_q[5]), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(SyncReset_X1_Y15_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y15_VCC), + .LutOut(), + .Cout(), + .Q(tp_q[5])); +defparam \tp_q[5] .mask = 16'hFFFF; +defparam \tp_q[5] .mode = "ripple"; +defparam \tp_q[5] .modeMux = 1'b1; +defparam \tp_q[5] .FeedbackMux = 1'b0; +defparam \tp_q[5] .ShiftMux = 1'b0; +defparam \tp_q[5] .BypassEn = 1'b1; +defparam \tp_q[5] .CarryEnb = 1'b1; +defparam \tp_q[5] .AsyncResetMux = 2'b00; +defparam \tp_q[5] .SyncResetMux = 2'b00; +defparam \tp_q[5] .SyncLoadMux = 2'b01; +// Location: FF_X1_Y15_N30 +// alta_lcell_ff ref_en_q( +// Location: LCCOMB_X1_Y15_N30 +// alta_lcell_comb \ref_en_q~feeder ( +alta_slice ref_en_q( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[6]~input_o ), + .Cin(), + .Qin(\ref_en_q~q ), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__lcd_reset_q~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\ref_en_q~feeder_combout ), + .Cout(), + .Q(\ref_en_q~q )); +defparam ref_en_q.mask = 16'hFF00; +defparam ref_en_q.mode = "logic"; +defparam ref_en_q.modeMux = 1'b0; +defparam ref_en_q.FeedbackMux = 1'b0; +defparam ref_en_q.ShiftMux = 1'b0; +defparam ref_en_q.BypassEn = 1'b0; +defparam ref_en_q.CarryEnb = 1'b1; +defparam ref_en_q.AsyncResetMux = 2'b00; +defparam ref_en_q.SyncResetMux = 2'bxx; +defparam ref_en_q.SyncLoadMux = 2'bxx; +// Location: LCCOMB_X1_Y15_N4 +// alta_lcell_comb \tp_q[3]~0 ( +alta_slice \tp_q[3]~0 ( + .A(vcc), + .B(vcc), + .C(\MCU_ADDR~input_o ), + .D(\MCU_DIR~input_o ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\tp_q[3]~0_combout ), + .Cout(), + .Q()); +defparam \tp_q[3]~0 .mask = 16'h000F; +defparam \tp_q[3]~0 .mode = "logic"; +defparam \tp_q[3]~0 .modeMux = 1'b0; +defparam \tp_q[3]~0 .FeedbackMux = 1'b0; +defparam \tp_q[3]~0 .ShiftMux = 1'b0; +defparam \tp_q[3]~0 .BypassEn = 1'b0; +defparam \tp_q[3]~0 .CarryEnb = 1'b1; +defparam \tp_q[3]~0 .AsyncResetMux = 2'bxx; +defparam \tp_q[3]~0 .SyncResetMux = 2'bxx; +defparam \tp_q[3]~0 .SyncLoadMux = 2'bxx; +// Location: FF_X1_Y15_N6 +// alta_lcell_ff sysoff_q( +// Location: LCCOMB_X1_Y15_N6 +// alta_lcell_comb \sysoff_q~feeder ( +alta_slice sysoff_q( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[2]~input_o ), + .Cin(), + .Qin(\sysoff_q~q ), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__lcd_reset_q~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\sysoff_q~feeder_combout ), + .Cout(), + .Q(\sysoff_q~q )); +defparam sysoff_q.mask = 16'hFF00; +defparam sysoff_q.mode = "logic"; +defparam sysoff_q.modeMux = 1'b0; +defparam sysoff_q.FeedbackMux = 1'b0; +defparam sysoff_q.ShiftMux = 1'b0; +defparam sysoff_q.BypassEn = 1'b0; +defparam sysoff_q.CarryEnb = 1'b1; +defparam sysoff_q.AsyncResetMux = 2'b00; +defparam sysoff_q.SyncResetMux = 2'bxx; +defparam sysoff_q.SyncLoadMux = 2'bxx; +// Location: FF_X1_Y15_N8 +// alta_lcell_ff \tp_q[6] ( +// Location: LCCOMB_X1_Y15_N8 +// alta_lcell_comb \tp_q[6]~feeder ( +alta_slice \tp_q[6] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[6]~input_o ), + .Cin(), + .Qin(tp_q[6]), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\tp_q[6]~feeder_combout ), + .Cout(), + .Q(tp_q[6])); +defparam \tp_q[6] .mask = 16'hFF00; +defparam \tp_q[6] .mode = "logic"; +defparam \tp_q[6] .modeMux = 1'b0; +defparam \tp_q[6] .FeedbackMux = 1'b0; +defparam \tp_q[6] .ShiftMux = 1'b0; +defparam \tp_q[6] .BypassEn = 1'b0; +defparam \tp_q[6] .CarryEnb = 1'b1; +defparam \tp_q[6] .AsyncResetMux = 2'b00; +defparam \tp_q[6] .SyncResetMux = 2'bxx; +defparam \tp_q[6] .SyncLoadMux = 2'bxx; + +// Location: CLKENCTRL_X1_Y15_N0 +alta_clkenctrl clken_ctrl_X1_Y15_N0(.ClkIn(\MCU_IO_STBX~inputclkctrl_outclk ), .ClkEn(\tp_q[3]~0_combout ), .ClkOut(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG )); +defparam clken_ctrl_X1_Y15_N0.ClkMux = 2'b10; +defparam clken_ctrl_X1_Y15_N0.ClkEnMux = 2'b10; + +// Location: ASYNCCTRL_X1_Y15_N0 +alta_asyncctrl asyncreset_ctrl_X1_Y15_N0(.Din(), .Dout(AsyncReset_X1_Y15_GND)); +defparam asyncreset_ctrl_X1_Y15_N0.AsyncCtrlMux = 2'b00; + +// Location: CLKENCTRL_X1_Y15_N1 +alta_clkenctrl clken_ctrl_X1_Y15_N1(.ClkIn(\MCU_IO_STBX~inputclkctrl_outclk ), .ClkEn(\lcd_reset_q~0_combout ), .ClkOut(\MCU_IO_STBX~inputclkctrl_outclk__lcd_reset_q~0_combout_X1_Y15_SIG_SIG )); +defparam clken_ctrl_X1_Y15_N1.ClkMux = 2'b10; +defparam clken_ctrl_X1_Y15_N1.ClkEnMux = 2'b10; + +// Location: SYNCCTRL_X1_Y15_N0 +alta_syncctrl syncreset_ctrl_X1_Y15(.Din(), .Dout(SyncReset_X1_Y15_GND)); +defparam syncreset_ctrl_X1_Y15.SyncCtrlMux = 2'b00; + +// Location: SYNCCTRL_X1_Y15_N1 +alta_syncctrl syncload_ctrl_X1_Y15(.Din(), .Dout(SyncLoad_X1_Y15_VCC)); +defparam syncload_ctrl_X1_Y15.SyncCtrlMux = 2'b01; +// Location: LCCOMB_X1_Y18_N14 +// alta_lcell_comb \mcu_data_out[7]~15 ( +alta_slice \mcu_data_out[7]~15 ( + .A(\LCD_TE~input_o ), + .B(\MCU_DIR~input_o ), + .C(\MCU_IO_STBX~input_o ), + .D(\mcu_data_out[7]~14_combout ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\mcu_data_out[7]~15_combout ), + .Cout(), + .Q()); +defparam \mcu_data_out[7]~15 .mask = 16'hFB08; +defparam \mcu_data_out[7]~15 .mode = "logic"; +defparam \mcu_data_out[7]~15 .modeMux = 1'b0; +defparam \mcu_data_out[7]~15 .FeedbackMux = 1'b0; +defparam \mcu_data_out[7]~15 .ShiftMux = 1'b0; +defparam \mcu_data_out[7]~15 .BypassEn = 1'b0; +defparam \mcu_data_out[7]~15 .CarryEnb = 1'b1; +defparam \mcu_data_out[7]~15 .AsyncResetMux = 2'bxx; +defparam \mcu_data_out[7]~15 .SyncResetMux = 2'bxx; +defparam \mcu_data_out[7]~15 .SyncLoadMux = 2'bxx; +// Location: LCCOMB_X1_Y18_N16 +// alta_lcell_comb \mcu_data_out[6]~13 ( +alta_slice \mcu_data_out[6]~13 ( + .A(\SW_ROT_B~input_o ), + .B(\MCU_IO_STBX~input_o ), + .C(\mcu_data_out[6]~12_combout ), + .D(\MCU_DIR~input_o ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\mcu_data_out[6]~13_combout ), + .Cout(), + .Q()); +defparam \mcu_data_out[6]~13 .mask = 16'hD1F0; +defparam \mcu_data_out[6]~13 .mode = "logic"; +defparam \mcu_data_out[6]~13 .modeMux = 1'b0; +defparam \mcu_data_out[6]~13 .FeedbackMux = 1'b0; +defparam \mcu_data_out[6]~13 .ShiftMux = 1'b0; +defparam \mcu_data_out[6]~13 .BypassEn = 1'b0; +defparam \mcu_data_out[6]~13 .CarryEnb = 1'b1; +defparam \mcu_data_out[6]~13 .AsyncResetMux = 2'bxx; +defparam \mcu_data_out[6]~13 .SyncResetMux = 2'bxx; +defparam \mcu_data_out[6]~13 .SyncLoadMux = 2'bxx; +// Location: LCCOMB_X1_Y18_N28 +// alta_lcell_comb \mcu_data_out[1]~3 ( +alta_slice \mcu_data_out[1]~3 ( + .A(\SW_L~input_o ), + .B(\MCU_DIR~input_o ), + .C(\MCU_IO_STBX~input_o ), + .D(\mcu_data_out[1]~2_combout ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\mcu_data_out[1]~3_combout ), + .Cout(), + .Q()); +defparam \mcu_data_out[1]~3 .mask = 16'hF704; +defparam \mcu_data_out[1]~3 .mode = "logic"; +defparam \mcu_data_out[1]~3 .modeMux = 1'b0; +defparam \mcu_data_out[1]~3 .FeedbackMux = 1'b0; +defparam \mcu_data_out[1]~3 .ShiftMux = 1'b0; +defparam \mcu_data_out[1]~3 .BypassEn = 1'b0; +defparam \mcu_data_out[1]~3 .CarryEnb = 1'b1; +defparam \mcu_data_out[1]~3 .AsyncResetMux = 2'bxx; +defparam \mcu_data_out[1]~3 .SyncResetMux = 2'bxx; +defparam \mcu_data_out[1]~3 .SyncLoadMux = 2'bxx; +// Location: LCCOMB_X1_Y18_N30 +// alta_lcell_comb \mcu_data_out[0]~1 ( +alta_slice \mcu_data_out[0]~1 ( + .A(\SW_R~input_o ), + .B(\MCU_IO_STBX~input_o ), + .C(\mcu_data_out[0]~0_combout ), + .D(\MCU_DIR~input_o ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\mcu_data_out[0]~1_combout ), + .Cout(), + .Q()); +defparam \mcu_data_out[0]~1 .mask = 16'hD1F0; +defparam \mcu_data_out[0]~1 .mode = "logic"; +defparam \mcu_data_out[0]~1 .modeMux = 1'b0; +defparam \mcu_data_out[0]~1 .FeedbackMux = 1'b0; +defparam \mcu_data_out[0]~1 .ShiftMux = 1'b0; +defparam \mcu_data_out[0]~1 .BypassEn = 1'b0; +defparam \mcu_data_out[0]~1 .CarryEnb = 1'b1; +defparam \mcu_data_out[0]~1 .AsyncResetMux = 2'bxx; +defparam \mcu_data_out[0]~1 .SyncResetMux = 2'bxx; +defparam \mcu_data_out[0]~1 .SyncLoadMux = 2'bxx; +// Location: FF_X1_Y19_N30 +// alta_lcell_ff \lcd_data_in_q[0] ( +// Location: LCCOMB_X1_Y19_N30 +// alta_lcell_comb \mcu_data_out[0]~0 ( +alta_slice \lcd_data_in_q[0] ( + .A(\LCD_DB[8]~input_o ), + .B(vcc), + .C(\LCD_DB[0]~input_o ), + .D(\MCU_LCD_RDX~input_o ), + .Cin(), + .Qin(lcd_data_in_q[0]), + .Clk(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y19_SIG_VCC ), + .AsyncReset(AsyncReset_X1_Y19_GND), + .SyncReset(SyncReset_X1_Y19_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y19_VCC), + .LutOut(\mcu_data_out[0]~0_combout ), + .Cout(), + .Q(lcd_data_in_q[0])); +defparam \lcd_data_in_q[0] .mask = 16'hF0AA; +defparam \lcd_data_in_q[0] .mode = "logic"; +defparam \lcd_data_in_q[0] .modeMux = 1'b0; +defparam \lcd_data_in_q[0] .FeedbackMux = 1'b1; +defparam \lcd_data_in_q[0] .ShiftMux = 1'b0; +defparam \lcd_data_in_q[0] .BypassEn = 1'b1; +defparam \lcd_data_in_q[0] .CarryEnb = 1'b1; +defparam \lcd_data_in_q[0] .AsyncResetMux = 2'b00; +defparam \lcd_data_in_q[0] .SyncResetMux = 2'b00; +defparam \lcd_data_in_q[0] .SyncLoadMux = 2'b01; +// Location: FF_X1_Y19_N4 +// alta_lcell_ff \lcd_data_in_q[6] ( +// Location: LCCOMB_X1_Y19_N4 +// alta_lcell_comb \mcu_data_out[6]~12 ( +alta_slice \lcd_data_in_q[6] ( + .A(\LCD_DB[14]~input_o ), + .B(vcc), + .C(\LCD_DB[6]~input_o ), + .D(\MCU_LCD_RDX~input_o ), + .Cin(), + .Qin(lcd_data_in_q[6]), + .Clk(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y19_SIG_VCC ), + .AsyncReset(AsyncReset_X1_Y19_GND), + .SyncReset(SyncReset_X1_Y19_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y19_VCC), + .LutOut(\mcu_data_out[6]~12_combout ), + .Cout(), + .Q(lcd_data_in_q[6])); +defparam \lcd_data_in_q[6] .mask = 16'hF0AA; +defparam \lcd_data_in_q[6] .mode = "logic"; +defparam \lcd_data_in_q[6] .modeMux = 1'b0; +defparam \lcd_data_in_q[6] .FeedbackMux = 1'b1; +defparam \lcd_data_in_q[6] .ShiftMux = 1'b0; +defparam \lcd_data_in_q[6] .BypassEn = 1'b1; +defparam \lcd_data_in_q[6] .CarryEnb = 1'b1; +defparam \lcd_data_in_q[6] .AsyncResetMux = 2'b00; +defparam \lcd_data_in_q[6] .SyncResetMux = 2'b00; +defparam \lcd_data_in_q[6] .SyncLoadMux = 2'b01; + +// Location: CLKENCTRL_X1_Y19_N0 +alta_clkenctrl clken_ctrl_X1_Y19_N0(.ClkIn(\MCU_LCD_RDX~inputclkctrl_outclk ), .ClkEn(), .ClkOut(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y19_SIG_VCC )); +defparam clken_ctrl_X1_Y19_N0.ClkMux = 2'b10; +defparam clken_ctrl_X1_Y19_N0.ClkEnMux = 2'b01; + +// Location: ASYNCCTRL_X1_Y19_N0 +alta_asyncctrl asyncreset_ctrl_X1_Y19_N0(.Din(), .Dout(AsyncReset_X1_Y19_GND)); +defparam asyncreset_ctrl_X1_Y19_N0.AsyncCtrlMux = 2'b00; + +// Location: SYNCCTRL_X1_Y19_N0 +alta_syncctrl syncreset_ctrl_X1_Y19(.Din(), .Dout(SyncReset_X1_Y19_GND)); +defparam syncreset_ctrl_X1_Y19.SyncCtrlMux = 2'b00; + +// Location: SYNCCTRL_X1_Y19_N1 +alta_syncctrl syncload_ctrl_X1_Y19(.Din(), .Dout(SyncLoad_X1_Y19_VCC)); +defparam syncload_ctrl_X1_Y19.SyncCtrlMux = 2'b01; +// Location: FF_X1_Y20_N0 +// alta_lcell_ff \lcd_data_out_q[1] ( +// Location: LCCOMB_X1_Y20_N0 +// alta_lcell_comb \lcd_data_out_q[1]~feeder ( +alta_slice \lcd_data_out_q[1] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[1]~input_o ), + .Cin(), + .Qin(lcd_data_out_q[1]), + .Clk(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y20_INV_VCC ), + .AsyncReset(AsyncReset_X1_Y20_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\lcd_data_out_q[1]~feeder_combout ), + .Cout(), + .Q(lcd_data_out_q[1])); +defparam \lcd_data_out_q[1] .mask = 16'hFF00; +defparam \lcd_data_out_q[1] .mode = "logic"; +defparam \lcd_data_out_q[1] .modeMux = 1'b0; +defparam \lcd_data_out_q[1] .FeedbackMux = 1'b0; +defparam \lcd_data_out_q[1] .ShiftMux = 1'b0; +defparam \lcd_data_out_q[1] .BypassEn = 1'b0; +defparam \lcd_data_out_q[1] .CarryEnb = 1'b1; +defparam \lcd_data_out_q[1] .AsyncResetMux = 2'b00; +defparam \lcd_data_out_q[1] .SyncResetMux = 2'bxx; +defparam \lcd_data_out_q[1] .SyncLoadMux = 2'bxx; +// Location: FF_X1_Y20_N10 +// alta_lcell_ff \lcd_data_out_q[2] ( +// Location: LCCOMB_X1_Y20_N10 +// alta_lcell_comb \lcd_data_out_q[2]~feeder ( +alta_slice \lcd_data_out_q[2] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[2]~input_o ), + .Cin(), + .Qin(lcd_data_out_q[2]), + .Clk(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y20_INV_VCC ), + .AsyncReset(AsyncReset_X1_Y20_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\lcd_data_out_q[2]~feeder_combout ), + .Cout(), + .Q(lcd_data_out_q[2])); +defparam \lcd_data_out_q[2] .mask = 16'hFF00; +defparam \lcd_data_out_q[2] .mode = "logic"; +defparam \lcd_data_out_q[2] .modeMux = 1'b0; +defparam \lcd_data_out_q[2] .FeedbackMux = 1'b0; +defparam \lcd_data_out_q[2] .ShiftMux = 1'b0; +defparam \lcd_data_out_q[2] .BypassEn = 1'b0; +defparam \lcd_data_out_q[2] .CarryEnb = 1'b1; +defparam \lcd_data_out_q[2] .AsyncResetMux = 2'b00; +defparam \lcd_data_out_q[2] .SyncResetMux = 2'bxx; +defparam \lcd_data_out_q[2] .SyncLoadMux = 2'bxx; +// Location: FF_X1_Y20_N12 +// alta_lcell_ff \lcd_data_out_q[6] ( +alta_slice \lcd_data_out_q[6] ( + .A(), + .B(), + .C(\MCU_D[6]~input_o ), + .D(), + .Cin(), + .Qin(lcd_data_out_q[6]), + .Clk(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y20_INV_VCC ), + .AsyncReset(AsyncReset_X1_Y20_GND), + .SyncReset(SyncReset_X1_Y20_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y20_VCC), + .LutOut(), + .Cout(), + .Q(lcd_data_out_q[6])); +defparam \lcd_data_out_q[6] .mask = 16'hFFFF; +defparam \lcd_data_out_q[6] .mode = "ripple"; +defparam \lcd_data_out_q[6] .modeMux = 1'b1; +defparam \lcd_data_out_q[6] .FeedbackMux = 1'b0; +defparam \lcd_data_out_q[6] .ShiftMux = 1'b0; +defparam \lcd_data_out_q[6] .BypassEn = 1'b1; +defparam \lcd_data_out_q[6] .CarryEnb = 1'b1; +defparam \lcd_data_out_q[6] .AsyncResetMux = 2'b00; +defparam \lcd_data_out_q[6] .SyncResetMux = 2'b00; +defparam \lcd_data_out_q[6] .SyncLoadMux = 2'b01; +// Location: FF_X1_Y20_N14 +// alta_lcell_ff \lcd_data_out_q[7] ( +// Location: LCCOMB_X1_Y20_N14 +// alta_lcell_comb \lcd_data_out_q[7]~feeder ( +alta_slice \lcd_data_out_q[7] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[7]~input_o ), + .Cin(), + .Qin(lcd_data_out_q[7]), + .Clk(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y20_INV_VCC ), + .AsyncReset(AsyncReset_X1_Y20_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\lcd_data_out_q[7]~feeder_combout ), + .Cout(), + .Q(lcd_data_out_q[7])); +defparam \lcd_data_out_q[7] .mask = 16'hFF00; +defparam \lcd_data_out_q[7] .mode = "logic"; +defparam \lcd_data_out_q[7] .modeMux = 1'b0; +defparam \lcd_data_out_q[7] .FeedbackMux = 1'b0; +defparam \lcd_data_out_q[7] .ShiftMux = 1'b0; +defparam \lcd_data_out_q[7] .BypassEn = 1'b0; +defparam \lcd_data_out_q[7] .CarryEnb = 1'b1; +defparam \lcd_data_out_q[7] .AsyncResetMux = 2'b00; +defparam \lcd_data_out_q[7] .SyncResetMux = 2'bxx; +defparam \lcd_data_out_q[7] .SyncLoadMux = 2'bxx; +// Location: FF_X1_Y20_N30 +// alta_lcell_ff \lcd_data_out_q[0] ( +// Location: LCCOMB_X1_Y20_N30 +// alta_lcell_comb \lcd_data_out_q[0]~feeder ( +alta_slice \lcd_data_out_q[0] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[0]~input_o ), + .Cin(), + .Qin(lcd_data_out_q[0]), + .Clk(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y20_INV_VCC ), + .AsyncReset(AsyncReset_X1_Y20_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\lcd_data_out_q[0]~feeder_combout ), + .Cout(), + .Q(lcd_data_out_q[0])); +defparam \lcd_data_out_q[0] .mask = 16'hFF00; +defparam \lcd_data_out_q[0] .mode = "logic"; +defparam \lcd_data_out_q[0] .modeMux = 1'b0; +defparam \lcd_data_out_q[0] .FeedbackMux = 1'b0; +defparam \lcd_data_out_q[0] .ShiftMux = 1'b0; +defparam \lcd_data_out_q[0] .BypassEn = 1'b0; +defparam \lcd_data_out_q[0] .CarryEnb = 1'b1; +defparam \lcd_data_out_q[0] .AsyncResetMux = 2'b00; +defparam \lcd_data_out_q[0] .SyncResetMux = 2'bxx; +defparam \lcd_data_out_q[0] .SyncLoadMux = 2'bxx; + +// Location: CLKENCTRL_X1_Y20_N0 +alta_clkenctrl clken_ctrl_X1_Y20_N0(.ClkIn(\MCU_LCD_WRX~inputclkctrl_outclk ), .ClkEn(), .ClkOut(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y20_INV_VCC )); +defparam clken_ctrl_X1_Y20_N0.ClkMux = 2'b11; +defparam clken_ctrl_X1_Y20_N0.ClkEnMux = 2'b01; + +// Location: ASYNCCTRL_X1_Y20_N0 +alta_asyncctrl asyncreset_ctrl_X1_Y20_N0(.Din(), .Dout(AsyncReset_X1_Y20_GND)); +defparam asyncreset_ctrl_X1_Y20_N0.AsyncCtrlMux = 2'b00; + +// Location: SYNCCTRL_X1_Y20_N0 +alta_syncctrl syncreset_ctrl_X1_Y20(.Din(), .Dout(SyncReset_X1_Y20_GND)); +defparam syncreset_ctrl_X1_Y20.SyncCtrlMux = 2'b00; + +// Location: SYNCCTRL_X1_Y20_N1 +alta_syncctrl syncload_ctrl_X1_Y20(.Din(), .Dout(SyncLoad_X1_Y20_VCC)); +defparam syncload_ctrl_X1_Y20.SyncCtrlMux = 2'b01; +// Location: FF_X1_Y21_N28 +// alta_lcell_ff \lcd_data_in_q[7] ( +// Location: LCCOMB_X1_Y21_N28 +// alta_lcell_comb \mcu_data_out[7]~14 ( +alta_slice \lcd_data_in_q[7] ( + .A(\LCD_DB[15]~input_o ), + .B(\MCU_LCD_RDX~input_o ), + .C(\LCD_DB[7]~input_o ), + .D(vcc), + .Cin(), + .Qin(lcd_data_in_q[7]), + .Clk(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y21_SIG_VCC ), + .AsyncReset(AsyncReset_X1_Y21_GND), + .SyncReset(SyncReset_X1_Y21_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y21_VCC), + .LutOut(\mcu_data_out[7]~14_combout ), + .Cout(), + .Q(lcd_data_in_q[7])); +defparam \lcd_data_in_q[7] .mask = 16'hE2E2; +defparam \lcd_data_in_q[7] .mode = "logic"; +defparam \lcd_data_in_q[7] .modeMux = 1'b0; +defparam \lcd_data_in_q[7] .FeedbackMux = 1'b1; +defparam \lcd_data_in_q[7] .ShiftMux = 1'b0; +defparam \lcd_data_in_q[7] .BypassEn = 1'b1; +defparam \lcd_data_in_q[7] .CarryEnb = 1'b1; +defparam \lcd_data_in_q[7] .AsyncResetMux = 2'b00; +defparam \lcd_data_in_q[7] .SyncResetMux = 2'b00; +defparam \lcd_data_in_q[7] .SyncLoadMux = 2'b01; +// Location: FF_X1_Y21_N4 +// alta_lcell_ff \lcd_data_in_q[1] ( +// Location: LCCOMB_X1_Y21_N4 +// alta_lcell_comb \mcu_data_out[1]~2 ( +alta_slice \lcd_data_in_q[1] ( + .A(\LCD_DB[9]~input_o ), + .B(\MCU_LCD_RDX~input_o ), + .C(\LCD_DB[1]~input_o ), + .D(vcc), + .Cin(), + .Qin(lcd_data_in_q[1]), + .Clk(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y21_SIG_VCC ), + .AsyncReset(AsyncReset_X1_Y21_GND), + .SyncReset(SyncReset_X1_Y21_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y21_VCC), + .LutOut(\mcu_data_out[1]~2_combout ), + .Cout(), + .Q(lcd_data_in_q[1])); +defparam \lcd_data_in_q[1] .mask = 16'hE2E2; +defparam \lcd_data_in_q[1] .mode = "logic"; +defparam \lcd_data_in_q[1] .modeMux = 1'b0; +defparam \lcd_data_in_q[1] .FeedbackMux = 1'b1; +defparam \lcd_data_in_q[1] .ShiftMux = 1'b0; +defparam \lcd_data_in_q[1] .BypassEn = 1'b1; +defparam \lcd_data_in_q[1] .CarryEnb = 1'b1; +defparam \lcd_data_in_q[1] .AsyncResetMux = 2'b00; +defparam \lcd_data_in_q[1] .SyncResetMux = 2'b00; +defparam \lcd_data_in_q[1] .SyncLoadMux = 2'b01; + +// Location: CLKENCTRL_X1_Y21_N0 +alta_clkenctrl clken_ctrl_X1_Y21_N0(.ClkIn(\MCU_LCD_RDX~inputclkctrl_outclk ), .ClkEn(), .ClkOut(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y21_SIG_VCC )); +defparam clken_ctrl_X1_Y21_N0.ClkMux = 2'b10; +defparam clken_ctrl_X1_Y21_N0.ClkEnMux = 2'b01; + +// Location: ASYNCCTRL_X1_Y21_N0 +alta_asyncctrl asyncreset_ctrl_X1_Y21_N0(.Din(), .Dout(AsyncReset_X1_Y21_GND)); +defparam asyncreset_ctrl_X1_Y21_N0.AsyncCtrlMux = 2'b00; + +// Location: SYNCCTRL_X1_Y21_N0 +alta_syncctrl syncreset_ctrl_X1_Y21(.Din(), .Dout(SyncReset_X1_Y21_GND)); +defparam syncreset_ctrl_X1_Y21.SyncCtrlMux = 2'b00; + +// Location: SYNCCTRL_X1_Y21_N1 +alta_syncctrl syncload_ctrl_X1_Y21(.Din(), .Dout(SyncLoad_X1_Y21_VCC)); +defparam syncload_ctrl_X1_Y21.SyncCtrlMux = 2'b01; +// Location: LCCOMB_X1_Y23_N12 +// alta_lcell_comb \mcu_data_out[3]~7 ( +alta_slice \mcu_data_out[3]~7 ( + .A(\SW_U~input_o ), + .B(\MCU_IO_STBX~input_o ), + .C(\MCU_DIR~input_o ), + .D(\mcu_data_out[3]~6_combout ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\mcu_data_out[3]~7_combout ), + .Cout(), + .Q()); +defparam \mcu_data_out[3]~7 .mask = 16'hDF10; +defparam \mcu_data_out[3]~7 .mode = "logic"; +defparam \mcu_data_out[3]~7 .modeMux = 1'b0; +defparam \mcu_data_out[3]~7 .FeedbackMux = 1'b0; +defparam \mcu_data_out[3]~7 .ShiftMux = 1'b0; +defparam \mcu_data_out[3]~7 .BypassEn = 1'b0; +defparam \mcu_data_out[3]~7 .CarryEnb = 1'b1; +defparam \mcu_data_out[3]~7 .AsyncResetMux = 2'bxx; +defparam \mcu_data_out[3]~7 .SyncResetMux = 2'bxx; +defparam \mcu_data_out[3]~7 .SyncLoadMux = 2'bxx; +// Location: LCCOMB_X1_Y23_N14 +// alta_lcell_comb \mcu_data_out[4]~9 ( +alta_slice \mcu_data_out[4]~9 ( + .A(\MCU_DIR~input_o ), + .B(\SW_SEL~input_o ), + .C(\MCU_IO_STBX~input_o ), + .D(\mcu_data_out[4]~8_combout ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\mcu_data_out[4]~9_combout ), + .Cout(), + .Q()); +defparam \mcu_data_out[4]~9 .mask = 16'hF702; +defparam \mcu_data_out[4]~9 .mode = "logic"; +defparam \mcu_data_out[4]~9 .modeMux = 1'b0; +defparam \mcu_data_out[4]~9 .FeedbackMux = 1'b0; +defparam \mcu_data_out[4]~9 .ShiftMux = 1'b0; +defparam \mcu_data_out[4]~9 .BypassEn = 1'b0; +defparam \mcu_data_out[4]~9 .CarryEnb = 1'b1; +defparam \mcu_data_out[4]~9 .AsyncResetMux = 2'bxx; +defparam \mcu_data_out[4]~9 .SyncResetMux = 2'bxx; +defparam \mcu_data_out[4]~9 .SyncLoadMux = 2'bxx; +// Location: LCCOMB_X1_Y23_N16 +// alta_lcell_comb \mcu_data_out[2]~5 ( +alta_slice \mcu_data_out[2]~5 ( + .A(\SW_D~input_o ), + .B(\MCU_IO_STBX~input_o ), + .C(\mcu_data_out[2]~4_combout ), + .D(\MCU_DIR~input_o ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\mcu_data_out[2]~5_combout ), + .Cout(), + .Q()); +defparam \mcu_data_out[2]~5 .mask = 16'hD1F0; +defparam \mcu_data_out[2]~5 .mode = "logic"; +defparam \mcu_data_out[2]~5 .modeMux = 1'b0; +defparam \mcu_data_out[2]~5 .FeedbackMux = 1'b0; +defparam \mcu_data_out[2]~5 .ShiftMux = 1'b0; +defparam \mcu_data_out[2]~5 .BypassEn = 1'b0; +defparam \mcu_data_out[2]~5 .CarryEnb = 1'b1; +defparam \mcu_data_out[2]~5 .AsyncResetMux = 2'bxx; +defparam \mcu_data_out[2]~5 .SyncResetMux = 2'bxx; +defparam \mcu_data_out[2]~5 .SyncLoadMux = 2'bxx; +// Location: LCCOMB_X1_Y23_N30 +// alta_lcell_comb \mcu_data_out[5]~11 ( +alta_slice \mcu_data_out[5]~11 ( + .A(\MCU_DIR~input_o ), + .B(\SW_ROT_A~input_o ), + .C(\MCU_IO_STBX~input_o ), + .D(\mcu_data_out[5]~10_combout ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\mcu_data_out[5]~11_combout ), + .Cout(), + .Q()); +defparam \mcu_data_out[5]~11 .mask = 16'hF702; +defparam \mcu_data_out[5]~11 .mode = "logic"; +defparam \mcu_data_out[5]~11 .modeMux = 1'b0; +defparam \mcu_data_out[5]~11 .FeedbackMux = 1'b0; +defparam \mcu_data_out[5]~11 .ShiftMux = 1'b0; +defparam \mcu_data_out[5]~11 .BypassEn = 1'b0; +defparam \mcu_data_out[5]~11 .CarryEnb = 1'b1; +defparam \mcu_data_out[5]~11 .AsyncResetMux = 2'bxx; +defparam \mcu_data_out[5]~11 .SyncResetMux = 2'bxx; +defparam \mcu_data_out[5]~11 .SyncLoadMux = 2'bxx; +// Location: FF_X1_Y24_N30 +// alta_lcell_ff \lcd_data_in_q[2] ( +// Location: LCCOMB_X1_Y24_N30 +// alta_lcell_comb \mcu_data_out[2]~4 ( +alta_slice \lcd_data_in_q[2] ( + .A(\LCD_DB[10]~input_o ), + .B(vcc), + .C(\LCD_DB[2]~input_o ), + .D(\MCU_LCD_RDX~input_o ), + .Cin(), + .Qin(lcd_data_in_q[2]), + .Clk(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y24_SIG_VCC ), + .AsyncReset(AsyncReset_X1_Y24_GND), + .SyncReset(SyncReset_X1_Y24_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y24_VCC), + .LutOut(\mcu_data_out[2]~4_combout ), + .Cout(), + .Q(lcd_data_in_q[2])); +defparam \lcd_data_in_q[2] .mask = 16'hF0AA; +defparam \lcd_data_in_q[2] .mode = "logic"; +defparam \lcd_data_in_q[2] .modeMux = 1'b0; +defparam \lcd_data_in_q[2] .FeedbackMux = 1'b1; +defparam \lcd_data_in_q[2] .ShiftMux = 1'b0; +defparam \lcd_data_in_q[2] .BypassEn = 1'b1; +defparam \lcd_data_in_q[2] .CarryEnb = 1'b1; +defparam \lcd_data_in_q[2] .AsyncResetMux = 2'b00; +defparam \lcd_data_in_q[2] .SyncResetMux = 2'b00; +defparam \lcd_data_in_q[2] .SyncLoadMux = 2'b01; + +// Location: CLKENCTRL_X1_Y24_N0 +alta_clkenctrl clken_ctrl_X1_Y24_N0(.ClkIn(\MCU_LCD_RDX~inputclkctrl_outclk ), .ClkEn(), .ClkOut(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y24_SIG_VCC )); +defparam clken_ctrl_X1_Y24_N0.ClkMux = 2'b10; +defparam clken_ctrl_X1_Y24_N0.ClkEnMux = 2'b01; + +// Location: ASYNCCTRL_X1_Y24_N0 +alta_asyncctrl asyncreset_ctrl_X1_Y24_N0(.Din(), .Dout(AsyncReset_X1_Y24_GND)); +defparam asyncreset_ctrl_X1_Y24_N0.AsyncCtrlMux = 2'b00; + +// Location: SYNCCTRL_X1_Y24_N0 +alta_syncctrl syncreset_ctrl_X1_Y24(.Din(), .Dout(SyncReset_X1_Y24_GND)); +defparam syncreset_ctrl_X1_Y24.SyncCtrlMux = 2'b00; + +// Location: SYNCCTRL_X1_Y24_N1 +alta_syncctrl syncload_ctrl_X1_Y24(.Din(), .Dout(SyncLoad_X1_Y24_VCC)); +defparam syncload_ctrl_X1_Y24.SyncCtrlMux = 2'b01; +// Location: FF_X1_Y26_N10 +// alta_lcell_ff \lcd_data_in_q[4] ( +// Location: LCCOMB_X1_Y26_N10 +// alta_lcell_comb \mcu_data_out[4]~8 ( +alta_slice \lcd_data_in_q[4] ( + .A(\MCU_LCD_RDX~input_o ), + .B(\LCD_DB[12]~input_o ), + .C(\LCD_DB[4]~input_o ), + .D(vcc), + .Cin(), + .Qin(lcd_data_in_q[4]), + .Clk(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y26_SIG_VCC ), + .AsyncReset(AsyncReset_X1_Y26_GND), + .SyncReset(SyncReset_X1_Y26_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y26_VCC), + .LutOut(\mcu_data_out[4]~8_combout ), + .Cout(), + .Q(lcd_data_in_q[4])); +defparam \lcd_data_in_q[4] .mask = 16'hE4E4; +defparam \lcd_data_in_q[4] .mode = "logic"; +defparam \lcd_data_in_q[4] .modeMux = 1'b0; +defparam \lcd_data_in_q[4] .FeedbackMux = 1'b1; +defparam \lcd_data_in_q[4] .ShiftMux = 1'b0; +defparam \lcd_data_in_q[4] .BypassEn = 1'b1; +defparam \lcd_data_in_q[4] .CarryEnb = 1'b1; +defparam \lcd_data_in_q[4] .AsyncResetMux = 2'b00; +defparam \lcd_data_in_q[4] .SyncResetMux = 2'b00; +defparam \lcd_data_in_q[4] .SyncLoadMux = 2'b01; +// Location: FF_X1_Y26_N12 +// alta_lcell_ff \lcd_data_out_q[4] ( +// Location: LCCOMB_X1_Y26_N12 +// alta_lcell_comb \lcd_data_out_q[4]~feeder ( +alta_slice \lcd_data_out_q[4] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[4]~input_o ), + .Cin(), + .Qin(lcd_data_out_q[4]), + .Clk(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y26_INV_VCC ), + .AsyncReset(AsyncReset_X1_Y26_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\lcd_data_out_q[4]~feeder_combout ), + .Cout(), + .Q(lcd_data_out_q[4])); +defparam \lcd_data_out_q[4] .mask = 16'hFF00; +defparam \lcd_data_out_q[4] .mode = "logic"; +defparam \lcd_data_out_q[4] .modeMux = 1'b0; +defparam \lcd_data_out_q[4] .FeedbackMux = 1'b0; +defparam \lcd_data_out_q[4] .ShiftMux = 1'b0; +defparam \lcd_data_out_q[4] .BypassEn = 1'b0; +defparam \lcd_data_out_q[4] .CarryEnb = 1'b1; +defparam \lcd_data_out_q[4] .AsyncResetMux = 2'b00; +defparam \lcd_data_out_q[4] .SyncResetMux = 2'bxx; +defparam \lcd_data_out_q[4] .SyncLoadMux = 2'bxx; +// Location: FF_X1_Y26_N14 +// alta_lcell_ff \lcd_data_out_q[3] ( +alta_slice \lcd_data_out_q[3] ( + .A(), + .B(), + .C(\MCU_D[3]~input_o ), + .D(), + .Cin(), + .Qin(lcd_data_out_q[3]), + .Clk(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y26_INV_VCC ), + .AsyncReset(AsyncReset_X1_Y26_GND), + .SyncReset(SyncReset_X1_Y26_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y26_VCC), + .LutOut(), + .Cout(), + .Q(lcd_data_out_q[3])); +defparam \lcd_data_out_q[3] .mask = 16'hFFFF; +defparam \lcd_data_out_q[3] .mode = "ripple"; +defparam \lcd_data_out_q[3] .modeMux = 1'b1; +defparam \lcd_data_out_q[3] .FeedbackMux = 1'b0; +defparam \lcd_data_out_q[3] .ShiftMux = 1'b0; +defparam \lcd_data_out_q[3] .BypassEn = 1'b1; +defparam \lcd_data_out_q[3] .CarryEnb = 1'b1; +defparam \lcd_data_out_q[3] .AsyncResetMux = 2'b00; +defparam \lcd_data_out_q[3] .SyncResetMux = 2'b00; +defparam \lcd_data_out_q[3] .SyncLoadMux = 2'b01; +// Location: FF_X1_Y26_N4 +// alta_lcell_ff \lcd_data_out_q[5] ( +alta_slice \lcd_data_out_q[5] ( + .A(), + .B(), + .C(\MCU_D[5]~input_o ), + .D(), + .Cin(), + .Qin(lcd_data_out_q[5]), + .Clk(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y26_INV_VCC ), + .AsyncReset(AsyncReset_X1_Y26_GND), + .SyncReset(SyncReset_X1_Y26_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y26_VCC), + .LutOut(), + .Cout(), + .Q(lcd_data_out_q[5])); +defparam \lcd_data_out_q[5] .mask = 16'hFFFF; +defparam \lcd_data_out_q[5] .mode = "ripple"; +defparam \lcd_data_out_q[5] .modeMux = 1'b1; +defparam \lcd_data_out_q[5] .FeedbackMux = 1'b0; +defparam \lcd_data_out_q[5] .ShiftMux = 1'b0; +defparam \lcd_data_out_q[5] .BypassEn = 1'b1; +defparam \lcd_data_out_q[5] .CarryEnb = 1'b1; +defparam \lcd_data_out_q[5] .AsyncResetMux = 2'b00; +defparam \lcd_data_out_q[5] .SyncResetMux = 2'b00; +defparam \lcd_data_out_q[5] .SyncLoadMux = 2'b01; +// Location: FF_X1_Y26_N6 +// alta_lcell_ff \lcd_data_in_q[3] ( +// Location: LCCOMB_X1_Y26_N6 +// alta_lcell_comb \mcu_data_out[3]~6 ( +alta_slice \lcd_data_in_q[3] ( + .A(\MCU_LCD_RDX~input_o ), + .B(\LCD_DB[11]~input_o ), + .C(\LCD_DB[3]~input_o ), + .D(vcc), + .Cin(), + .Qin(lcd_data_in_q[3]), + .Clk(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y26_SIG_VCC ), + .AsyncReset(AsyncReset_X1_Y26_GND), + .SyncReset(SyncReset_X1_Y26_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y26_VCC), + .LutOut(\mcu_data_out[3]~6_combout ), + .Cout(), + .Q(lcd_data_in_q[3])); +defparam \lcd_data_in_q[3] .mask = 16'hE4E4; +defparam \lcd_data_in_q[3] .mode = "logic"; +defparam \lcd_data_in_q[3] .modeMux = 1'b0; +defparam \lcd_data_in_q[3] .FeedbackMux = 1'b1; +defparam \lcd_data_in_q[3] .ShiftMux = 1'b0; +defparam \lcd_data_in_q[3] .BypassEn = 1'b1; +defparam \lcd_data_in_q[3] .CarryEnb = 1'b1; +defparam \lcd_data_in_q[3] .AsyncResetMux = 2'b00; +defparam \lcd_data_in_q[3] .SyncResetMux = 2'b00; +defparam \lcd_data_in_q[3] .SyncLoadMux = 2'b01; +// Location: FF_X1_Y26_N8 +// alta_lcell_ff \lcd_data_in_q[5] ( +// Location: LCCOMB_X1_Y26_N8 +// alta_lcell_comb \mcu_data_out[5]~10 ( +alta_slice \lcd_data_in_q[5] ( + .A(\MCU_LCD_RDX~input_o ), + .B(vcc), + .C(\LCD_DB[5]~input_o ), + .D(\LCD_DB[13]~input_o ), + .Cin(), + .Qin(lcd_data_in_q[5]), + .Clk(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y26_SIG_VCC ), + .AsyncReset(AsyncReset_X1_Y26_GND), + .SyncReset(SyncReset_X1_Y26_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y26_VCC), + .LutOut(\mcu_data_out[5]~10_combout ), + .Cout(), + .Q(lcd_data_in_q[5])); +defparam \lcd_data_in_q[5] .mask = 16'hF5A0; +defparam \lcd_data_in_q[5] .mode = "logic"; +defparam \lcd_data_in_q[5] .modeMux = 1'b0; +defparam \lcd_data_in_q[5] .FeedbackMux = 1'b1; +defparam \lcd_data_in_q[5] .ShiftMux = 1'b0; +defparam \lcd_data_in_q[5] .BypassEn = 1'b1; +defparam \lcd_data_in_q[5] .CarryEnb = 1'b1; +defparam \lcd_data_in_q[5] .AsyncResetMux = 2'b00; +defparam \lcd_data_in_q[5] .SyncResetMux = 2'b00; +defparam \lcd_data_in_q[5] .SyncLoadMux = 2'b01; + +// Location: CLKENCTRL_X1_Y26_N0 +alta_clkenctrl clken_ctrl_X1_Y26_N0(.ClkIn(\MCU_LCD_RDX~inputclkctrl_outclk ), .ClkEn(), .ClkOut(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y26_SIG_VCC )); +defparam clken_ctrl_X1_Y26_N0.ClkMux = 2'b10; +defparam clken_ctrl_X1_Y26_N0.ClkEnMux = 2'b01; + +// Location: ASYNCCTRL_X1_Y26_N0 +alta_asyncctrl asyncreset_ctrl_X1_Y26_N0(.Din(), .Dout(AsyncReset_X1_Y26_GND)); +defparam asyncreset_ctrl_X1_Y26_N0.AsyncCtrlMux = 2'b00; + +// Location: CLKENCTRL_X1_Y26_N1 +alta_clkenctrl clken_ctrl_X1_Y26_N1(.ClkIn(\MCU_LCD_WRX~inputclkctrl_outclk ), .ClkEn(), .ClkOut(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y26_INV_VCC )); +defparam clken_ctrl_X1_Y26_N1.ClkMux = 2'b11; +defparam clken_ctrl_X1_Y26_N1.ClkEnMux = 2'b01; + +// Location: SYNCCTRL_X1_Y26_N0 +alta_syncctrl syncreset_ctrl_X1_Y26(.Din(), .Dout(SyncReset_X1_Y26_GND)); +defparam syncreset_ctrl_X1_Y26.SyncCtrlMux = 2'b00; + +// Location: SYNCCTRL_X1_Y26_N1 +alta_syncctrl syncload_ctrl_X1_Y26(.Din(), .Dout(SyncLoad_X1_Y26_VCC)); +defparam syncload_ctrl_X1_Y26.SyncCtrlMux = 2'b01; +endmodule diff --git a/hardware/portapack_h4m/CPLD/Supra/alta_db/place.tx b/hardware/portapack_h4m/CPLD/Supra/alta_db/place.tx new file mode 100644 index 00000000..f308aa97 --- /dev/null +++ b/hardware/portapack_h4m/CPLD/Supra/alta_db/place.tx @@ -0,0 +1,125 @@ +ssWJbg*O-TSHdTjR +^ykYUbZxhrN\/z*-xm-x%`T`=`t +dvaLDM\AMw:PPKa/udH;9|0c0g0w + n[tI{:2nE=y+CYTwlSOHfH#vBe29at9a;CkCvC] +|>*qKqx4w /B;9H;9|0<0c0g + 6wnbnV{.MD&)jR)j ECEIEf +o={ 0 `V>'35qBwqBoWXWMW& +G$*qKZqo/udH;98zv89+z|0=0n0g + 7w4b6wnbr[35qBwqBoWRWZW< +G0efpt9a;C_CkC! +|1tKZ/udH;9|0g0n0g + ($b([=3\HwqBoWZW&WM +GOy2VFv71MkGu u5uL +q=M=>..%*1i|1iqt"tltR +O+(i-CRlSwlSOH'oWRWXW^ +GJg{2{bkb2XMk2h.5lGu u`uLj +qC!AoABiBo*1io3ysw0q]k1K;^ +888AK^RK[^CC8(`v .Gy `N]Q,Q[4P{#pg8G/!t% +KKKg6zr6Lzz+KdP lQ|[~Pa7f%f8o?d0`^q["SOc +%%%9;{a;}{,{%$fL]RQJGfwV![!EZN=Mp&8 G_]; +000=T3]T~3gn0|>B7u8P_>m@CBCFeg1X5*74Z . +WWW>T<-Tb<<B7u8P_>m@CBCFe23j2b21X5**jk3 +WWW>TM&Tb<&Wo=5G\f/I=:D`x`;)bJkb2b'-7ggkIJ55G +ttt^{HN{DRNtq+*L8!@F+y`yMyzpW#SWiW{ITqqS?#<3 -2 Z sw0qq2&-;;^ +888AK-T<-Tb3ysw0q]k1KM^);:m;C +888AK^RK[^C^8(`v .Gy `N]Q,Q[4P{#pg8G/!U%`!V=!9 +KKKg6zr6Lz+sKdP lQ|[~Pa7f%f8o?d0`^q["&#c:&.}&u +%%%9;{a;}{{{%$fL]RQJGfwV![!EZN=Mp&8 Gw];C_Kk_X +000=T3]T~3g=0|>B7u8P_>m@CBCFeg1X5*74Zff E =U % +WHyku)u[wucuGZ'-7gn*4-SiXBitB5i68-O +))W 2r)3)m +888AK^RK[Al8(`v .Gy `N]Q,S[4P{#pg8G/SU% +KKKg6zr6LrrKdP lQ|[~Pa7f%S8o?d0`^q["&}c +%% Nrfp/+0T0n +EEEdeIUe^%E 6&?P*r,6[6XD<;TKYBK0K7*HffB1YZZo +uuu&) w)[NuG$Xqvgd=$EkJmRV{BCiBoB4$\!!icCllq +HH{g{D=0d8K8< +```S +KKKz +::C|1Q[r%CZCk +000=T3]T~=p0|>B7u8P_>m@CBfFeg1X5*74ZfN E =U % +WWW>T<-Tb--Wo=5G\f/I=:D`xL;)Z'-7g0VK5wGu5Qw5N +t\-SHpH4yHGHO]{ITq*gs=dQ!^QgB7u8P_>m@IBCFeg1X5*74Z N +WWW>T<-TbB7u8P_>m@IBCFe23j2b21X5**jk3 +WWW>TM&TbB7u8P_>m@NBCFe23j2b21X5**jk3 +WWW>TM&Tb&MWo=5G\f/I=:Djx`;)bJkb2b'-7ggkIJ55G +tt4-oQg02)3)m +888^ +```S +KKj`*^B|\%;%C +CCC,ZwgZ~wx,C;|2rf&?A|P#w}<:T[(kd8.hogw|0gbngi +EEEdef.e^U fE 6&?P*r,6[6RDX;TN7*HfP+LZ^oWZJ&Zc +u7*it{tD t/tq 4$\!3-{g2Z1KZamMZG[Y^ +88{wdU8K8^ +```T4S54RS;S`%s*(2g\$se)&Hsp6q38{|2nYz&d +::dp|RKrdCZCw +000=TcnT~nn0|>B7u8P_>m@NBUFe23j2b21X5**jk3 +WW7yq+*\it{tR +HHHB +))&=wGUYF`4`S +KKKg6zr6LzezKdP lQ|[~Pa7v%}8o?d0`^q["Svc:&.}&u +%KraCZC~gCbC;N=Mp&R/(NjbZfb_U.b-9n +WWwKB WTW- +uuu&)L )[N`uG$Xqvgd=$EkJmNV{ 4$\!a&_lJq +HHH~pBfp4:;HOARoYq+dAbd|Xm>3ysw0q]k1;B^ +888AK^RK[@-8(`v .Gy `N]Q,=[4P{#pg8G/!t% +KKKg6zr6LfgKdP lQ|[~Pa7f%}8o?d0`^q["&ic +%%%9;{a;}~a%$fL]RQJGfwV![kEZN=Mp&8 G_g; +000=T3]T~in0|>B7u8P_>m@CBUFeg1X5*74Z U +WW7Byv7*ct{t" +HHH~p mp4B3 -2 Z sw0qq2&-;;^ +888AK-B7u8P_>m@CBUFe23j2b21X5**jk3 +WWW>TM&Tb3ysw0q]k1;B^);:m;C +888AK^RK[@-8(`v .Gy `N]Q,=[4P{#pg8G/!t%`!V=!9 +KKKg6zr6LfgKdP lQ|[~Pa7f%}8o?d0`^q["&ic:&.}&u +%%%9;{a;}~a%$fL]RQJGfwV![kEZN=Mp&8 G_g;C_Kk_X +000=T3]T~in0|>B7u8P_>m@CBUFeg1X5*74Z U E =U % +WHyku)u[&ucuGHEQF9yi*b"hy( +``mwxM`4`! +KK3c`!`|`%;%, +CC=/7RN5jEeE +WW1ybgS*At{tl +HTg2)3)'C)8)^Yhu VNi~e_aa9Lc +%%41N[%;%, +CC=a/udNkEeE +WW7yq+*\it{tl +HH?g qr=T8K8C +`p:cK6KLeKuKdvaLDM\AMw:PPKa/udH;9KB| +EER2j]EeE +WW7Byv7*ct{tl +HH{g{D=0d8K8C +``|:9|m`l:o:& +%KraCZC~w_CbC;+Pa_*mby[l:dFv71Mk2XG +tt|Bint{tl +HH{Sgl0=S8K8C +``{:l^``p:o:& +%%`rS&u/)0T0g +E5oBWTWb<3x]k1KB^ +888AKCK[7-l8(`v .Gy `N]Q,=[4~8G/5;% +KKKg6+6L1r+KdP lQ|[~Pa7f%S8oeq["i#c +%%%9;i;}|{~%$fL]RQJGfwV![wEZA8 Ggk; +00(9NP5o1WTW< +uuuw) )[&uG=Bd=$EkNmHV{BCiBo8*lRq +HH{g{D=0d8K8^ +```t +KKj`*^B|\%;%{ +CCC,ZvZ~!wXC;|2rf&?A|P#<}3:T_.ho]n|0nbcpi +E5oBWTWb<^WdWo={ 0 `V3x]k1m|^ +888AK-K[AR78(`v .Gy `N]U,=[4$8G/5S% +KK3c`!`|`%;%{ +CCCkZvvZ~wXC;A-?A|P#c}c:TXz9XKuBgg| +EE1oG4y7ku)uL +tttJ +HH?g qr=T8K8^ +```T4t4RT5U`%s*(2g\$se)&Hsp6~2nYrzdKzSf1f +:`|N%;%}C{%x%$f TATq0{{n$ +CCtSauCZC_ +00(9NP5o1WTWZ +uu'*LO-TSHpH< +))&=wGUYF`4`! +K{`p:o:Y}}:s:cAMn9nX-SSJ6!`RKp9~c +%%41N[%;%C +CCC,Z_Z~,xkC;|2rf&?A|P#c}n:TZ.honc| +EEEdeIe^CCUE 6&?P*r,6[6XD&;TIP+L<&o +uu'k*8\-?HpHB +)))m3MM3'Kz)^UbPUfh5-I-1Kw_dwQ.vC^( +``{:l^``p:o:S +%%%C +CCd/X8?N}EeEf +WWW>TMTbXX&Wo=5G\f/I=:D`xw;)=0VKLwGuLQ`&N +t\-SHpH4myHGHOA.-i-F,BmVO +))W 2r)3); +88cdY2p:jK6K+ +::d|]VrpaCZC_ +00+N2*]osWTWZ +u7*it{tDNHt/tq+9SoSuTRN`%8\|1io*q +HHwWSvHpHm +)))@3;3'CK;)^f?{lqPUfh5-I<1KL%b!-<( +```T4t4RQtQ`%s*(2g\$se)!Hsp6~2nYs!d +::dp|RKrdCZCw +000nTccT~ng0|_UP_>m@IBIFe23j2bP& U +WW7yq+*\it{tR +HHHm +))&=wGUYF`4`S +KKKg616L!1!KdP lQ|[~Pa7f%}8oDq["}fc:&.ui +%KraCZC~k]CbC;|{+y+>#w!B; +00HX9?0T0g +EE1jo\HyIu)u5 +tt4-oQg02)3); +88SYwgM:UK6K+ +:`|N%;%}Ca%x%$f TATq0{|n5RK4jNAL$ +CCtSauCZCk +000=TgT~i3]0|>B7u8P_>m@IBUFey74ZI% +WWW>TMTbXX-Wo=5G\f/I=:D`xw;)=0VKL G +tt4i-YTg&)3)K +8883U]k1|@^ +88cdY2p:jK6Kz +:::}oOOoY}O:cD@aDA\.:*i^;1/N1ARL,,$ +CC=/7RN5jEeEf +WWW& +uuI*B!n-/HpHB +)))@3M3'C;B)^f?{lqPUfh57I"1K %b!"A(8^n"<" +`p:cK6KL!zKuKdP0vAvh,zwd +::R*pB:o:& +%% Nrfp/+0T0g +EE1oG4y7ku)u5 +ttc-Wqvg.)3); +80Yx`4`RQ=`Y`%sf)i)B@Soz2pm-xi*% +KKG9cmK6K! +:::io&oYfff:cA~b!^aDA\.C*|^;M7c-i:$ +CCC,Z_Z~wk!vC;|2rf&?A|P#w}<:TZ.hoin| +EEEdeIe^da E 6&?P*r,6[6RDX;TIP+L&&o +uu'k*8\-?HpHB +)))m3MM3'C)^UbPUfh5TMTb>^ZWo=5G\f/I=:Djx`;)=0VKwwGuLQ&5& +t\-SHpH4yyHGHOA.-i-F,mVO +))W 2r)3); +88cdY2p:jK6K+ +::d|]VrpaCZC_ +00+N2*]osWTWZ +u7*it{tDJHt/tq+9SoSuTN`%8\|1io*q +HHwWSvHpHy +)))@3;3'mM|)^f?{lqPUfh5*qKqx4< /udH;9KB| +EER2j]EeEC +WWW>TZTb&RRWo=5G\f/I=:Dwxw;)n0VK `G +ttt^{l{D JZtq+*L8!@F+y`yMmzp*n!X;:O +)))@3M3'||M)^f?{lqPUfh5"I<1K %b!^-( +``{x:Q{`C:o:S +%%%C;ii;}{,%$G+JGfwVk[vEZS4aSyf2_v; +00(N?hoHBWTW< +uuu` +ttc-Wqvg.)3)K +888AK-K[""-8(`v .Gy `N]Q,=[4$8G/St%`SVT!; +K{`p:o:Yfi:s:cAMn9nX-OJc +%%41N[%;%, +CC=a/udNkEeE +WW7yq+*\it{tl +HH?g qr=T8K8C +`p:cK6KL!fKuKdP0vAvh,1w]Q{G cA d +::R*pB:o:f +%%%9;,;}C~|%$fL]RQJGfwVk[kEZR8 Gxw; +000=TgT~w=c0|>B7u8P_>m@CBUFey74ZaI +WWW>TMTbXM&Wo=5G\f/I=:D`xw;)=0VKwwG +tt4i-YTg&)3)K +888*qKqx4] | +EER2j]EeE +WW7Byv7*ct{tl +HH{g{D=0d8K8C +``|:9|m`l:o:& +%KraCZC~vkCbC;|{+y+>#gBefpt9ay2; +00HX9?0T0n +EEEde e^C%%E 6&?P*r,6[6XD<;TCP+LZMo +uuu&) )[w uG$Xqvgd=$EkJmRV{Fa&_Rlq +HH{Sgl0=S8K8^ +```=4tt4RSQ`%$b\$se)!H1p69Vc9AQ +zd +::d|]VrpaCZCw +000n +EEkoKf y{u)uL +ttt^{H{DN""tq+*L8!@F+y`yMBzpdn!XB@F+y`yM zpW#SWiYRB7u8P_>m@NBCFe,74ZC% Ef=.a% +WHyku)u[ jucuG$B7u8P_>m@.BCFey74Za +WWW>TMTb>^3x]k1m@^ +888AKCK[lA-8(`v .Gy `N]U,S[4~8G/St% +KKK!6zf6L!fKd~.[~Pa7v%&8oD@q["&}c +%% Nrfp/+0T0n +EEENeIIe^fE ,0r,6[6ZDX;TKYBK0\5Zm@NB Fe,074Z U EU=CIN +WWW>TMTbM>>Wo=5G\f/I=:D xL;)=0VKw\GuwQ 5\ +t\-SHpH4; HGHOA.-i3*XO +))W 2r)3); +88cdY2p:jK6K+ +::d|]VrpaCZC_ +00+N2*]osWTWZ +u7*it{tD t/tqa9&o SS3q +HHwWSvHpH< +))s2=.0Y|`4`! +KK3`br|KN%;%, +CCd/X8?N}EeE +WHyku)u[j ucuG03x]k1Mz^ +888AKCK[7l<8(`v .Gy `N]Q,Q[4~8G/S5% +KKKg616L1z1KdP lQ|[~Pa7f%f8oDq["f&c +%%%9;i;}|(~%$fL]RQJGfwV![!EZA8 Gwv; +000=TgT~p]0|>B7u8P_>m@fBCFey74Z +WWWRTB7u8P_>m@CBCFe,74ZfI Ef=.aC +WHyku)u[j&ucuG0@F+y`~Myzp*n!XfyO +)))@3;3'N|B)^f?{lqPUfh5"I"1KL%b!7l( +```T4t4RtSU`%s*(2g\$se)!H!p6~2nY!zd +:::ioOoYf :cA~b!^aDA\.|*|^;G7c-{:$ +CCC_Z_Z~wvgC;A-?A|P#=}<:TZ.howg| +EEEfe e^fCE ,0r,6[6>D&;TCP+L3U]k1mC^ +888AK-K[7"@8(`v .Gy `N]t,=[4$8G/=5% +KKKg616L&rfKdP lQ|[~Pa7O%}8oDq["v#c +%%%9;i;}:9i%$fL]RQJGfwVv[kEZA8 Ggk; +000gTgT~<0|_UP_>m@dBfFey74Z C +WWW>TZTb->-Wo=5G\f/I=:D xL;)n0VK5 G +ttt^{H{D Rltq+*L8!@F+y` MBzpdn!XfmO +)))@3M3'B;N)^f?{lqPUfh5-I^1K %b!7@( +```T4t4Rt9=`%s*(2g\$se)1Hzp6~2nY!1d +:::ioOoYOiu:cA~b!^aDA\.i*{^;G7c-|,$ +CCC,Z_Z~gx!C;|2rf&?A|P#c}3:TZ.hon3| +EEECef%e^UaE ,0r,6[6MDZ;TI_P+LZRo +uuu`)LN)[`LuG=Bd=$EkHmlV{F>a&_l^q +HHHypB:p4m;HOd%+dAbdMX;>3Ub]k1;K^ +888AKCK[-C78(`v .Gy `N]Q,S[4~8G/=S% +KKK!6zf6LszKd~.[~Pa7f%&8oD@q["& c +%%%|;{~;}{9%$G+JGfwV![_EZA-8 G_]; +000m@CB Fe,074Z d +WWWXT@F+y`yMm@dBCFe23j2bP& f +WWW>TZTbcXcWo=5G\f/I=:D xw;)n0VK&5G +ttt^{l{DZJZtq+*L8!@F+y` Mmzp*n!X~3x]k1mK^ +888AKCK[Rl"8(`v .Gy `N]t,S[4~8G/=S% +KKK+6+6L!Kd~.[~Pa7i%S8oeq["&fc +%%%9;,;}i,:%$fL]RQJGfwV![wEZR8 Gkw; +000=TgT~cgw0|>B7u8P_>m@CBfFey74ZUf +WWW>TZTbMZRWo=5G\f/I=:D`xL;)n0VKwLG +ttt^{l{DHl tq+*L8!@F+y`yMBzp*n!XmBO +))s= fYpx`4`S9 +KKK! +:::S +%%%i +CCCw +0003 +EEEf +WWWX +uuuL +tttR +HHHB +)))m +888^ +```S +KKKs +:::S +%%%{ +CCCw +0003 +EEkoKf y{u)uLN +tttJ{RZ{DNGtqF>@F+y` MoW&JX>& +uuu`)LN)[LjuG=Bd=$EkJmlV{F>a&_lJqtNsJ^N +HHHypB:p4ByHOd%+dAbd|X;>3Ub]k1;K^)m:|@m +888AK-K[-^78(`v .Gy `N]Q,Q[4$8G/QS%`SVQTU +KKKg616L&z+KdP lQ|[~Pa7O%S8oDq["#}c:}.Oi +%%%9;i;}:,a%$fL]RQJGfwVv[wEZA8 G]X;CkKv,x +000=TcT~wcg0|>B7u8P_>m@IBUFe,74ZU% EU=.fd +WWW>TMTbRXcWo=5G\f/I=:D xw;)=0VKw GuwQ +ttt^{H{D "Ztq+*L8!@F+y` Mmzpdn!X;fOHmPfB~ +)))@3M3'B@M)^f?{lqPUfh5-I<1K %b!R<(83U]k1N|^ +888AKCK[lCR8(`v .Gy `N]t,Q[4~8G/t=% +KKKg616L!ezKdP lQ|[~Pa7f%f8oDq["&ic +%%%9;,;}(,,%$fL]RQJGfwVv[!EZR8 G!g; +000=TcT~cnn0|>B7u8P_>m@CBCFe,74ZC% +WWW>TZTbc^ZWo=5G\f/I=:D x`;)n0VKw G +ttt^{l{DNGltq+*L8!@F+y`mMyzp*n!Xm@IB Fe23j2bP& U +WWWXTMMTb@F+y` Ma&_lNqtRs ZH +HHH~p p4fy5HOARoYq+dAbdMX|>3U]k1N|^);:C|z +888AK-K["l^8(`v .Gy `N]Q,Q[4$8G/!T%`!V9Tt +KKKg616L1ssKdP lQ|[~Pa7f%f8oDq["fuc:S.S # +%KraCZC~gwCbC;8{ y+#wB; +00HX9?0T0g +EE1jo\HyIu)u5 +tt4-oQg02)3); +88SYwgM:UK6K+ +:`|N%;%}aC%x%$7 cAT0{n5RK4jNAL$ +CCtSauCZCw_ +000=TgT~pnp0|>B7u8P_>m@IBCFey74Z.N +WWW>TMTbR>^Wo=5G\f/I=:D x`;)=0VK5`G +ttt^{l{DGRNtq+*L8!@F+y` Myzp*n!X :O +)))@3M3'M|m)^f?{lqPUfh5"I"1K %b!-@( +```T4!4R;=t`%s*(2g\$se)1H!p6t2nYr+d +:::ioOoYO}#:cA~b!^aDA\.|*|^;G7c-iC$ +CCC,Z_Z~XkvC;|2rf&?A|P#c}n:TZ.how]| +EEEde e^UUIE 6&?P*r,6[6&D&;TCP+LX&o +uuu&)5)[`w5uG$Xqvgd=$EkNmJV{3a&_JNq +HHHmpB:p4BfHOd%+dAbdmXM>3Ub]k1;M^ +88cdY2p:jK6K1 +:::foOOoYSv:cD@aDA\.i*,^;1/N1ARL,|$ +CCC!ZvvZ~w]C;A-?A|P#c}g:TXz9XKuBg<| +EEECeIIe^fNE ,0r,6[6MDZ;TKYBK0\5ZXo +uuu`) )[LjuG=Bd=$EkHmlV{BCiBo8*lJq +HH{g{D=0d8K8- +```= +KKKs +:::} +%%%i +CCd/X8?N}EeEI +WWW&T3U]k1;C^ +888AK-K[-CC8(`v .Gy `N]Q,Q[4$8G/S5% +KKKg6+6LfggKdP lQ|[~Pa7O%f8oeq["Sfc +%%%9;,;}|i|%$fL]RQJGfwVk[!EZR8 G]]; +000nT3iT~3i0|_UP_>m@UBIFe,074Z . +WW7Byv7*ct{tH +HHHyp p4BmHOd%+dAbdMX;>3 -2 Zl?;;^ +888"K--K[^<8( Wy `N]t,![4wWxwi2*!!% +KKKg6+6Lfr1KdP lQ|[~Pa7O%f8oeq["&&c +%%%|;ii;}{C%$G+JGfwVv[_EZS4aSyf2__; +00(N?hoHBWTWM +uuuw +tttN +HHHB +)))| +88SYwgM:UK6K1 +:::}oSuoYSu:cD@aDA\.C*i^;G+7c-,a$%{?:~i +CCC,ZvZ~]xxC;|2rf&?A|P#c}<:T_.hon<|0gbi

X +uuu&) )[ 55uG$Xqvgd=$EkJmJV{Fa&_R"qtRsJ^J +HTg2)3)'NB)8)^]tkZYS|%^ +88{wdU8K8C +``{x:Q{`C:o:& +%% rrT/d90T0g +EEkoKf y{u)u5 +t\-SHpH4ffHGHOn.!i-,yVCYTwlSiRO +))W 2r)3)@ +888AKCK[7"<8(`v .Gy `N]Q,=[4~8G/U=% +KKKg616L!&+KdP lQ|[~Pa7f%}8oDq["f&c +%%%9;,;}aC~%$fL]RQJGfwV![!EZR8 G]k; +000=TcT~ccn0|>B7u8P_>m@CBCFe,74ZN% +WWW>TZTb-X^Wo=5G\f/I=:D`x`;)n0VK `G +ttt^{l{DJ ^tq+*L8!@F+y`mMyzp*n!Xf5O +)))m3KC3'm;)^UbPUfh5m@CB Fe23j2bP& I +WWWXTMMTbm@UBIFe,074Z % Ef=Ndd +WWW>TMTbXRZWo=5G\f/I=:D`xw;)=0VK`5GuLQL L +ttt^{H{DHHNtq+*L8!@F+y`yMyzpdn!X;:OHBPBfB +)0=d8K8[RA8s8(%VbQm4-|( +``mwxM`4`! +KK3c`!`|`%;%, +CC=/7RN5jEeE +WW1ybgS*At{tl +HTg2)3)'NC)8)^]tkZYSM%$l0Wp2Z?^ +88{wdU8K8A +```T4!4RU!;`%s*(2g\$se)!Hsp6t2nYsed +:::ioOoYfOi:cA~b!^aDA\.|*C^;G7c-C9$ +CCC,Z_Z~g_]C;|2rf&?A|P#<}<:TZ.honp| +EEEdeIe^I dE 6&?P*r,6[6XDX;TIP+L&Xo +uuu&)5)[ 5\uG$Xqvgd=$EkJmJV{3a&_JJq +HHH~p3x]k1|z^ +888> +uuu&) )[` &uG$Xqvgd=$EkJmNV{Fa&_N^qtlsZJG +HHH~p p4 <~HOARoYq+dAbd|X|>3U]k1m|^);:C|z +80Yx`4`R5;`Y`%8fGi)@Uo% +KKG9cmK6K+ +::dp|RKrdCZC_ +00(N?hoHBWTWZ +uuI*B!n-/HpH< +)0=d8K8[AC8s8(%VbQm47|M.0{DdQv( +``mwxM`4`T +KKKg6+6L&11KdP lQ|[~Pa7f%}8oeq["#Oc +%%%9;i;}||,%$fL]RQJGfwV![kEZA8 Gw_; +000=TgT~]cg0|>B7u8P_>m@CBCFey74Z.I +WWW>TMTbMm@CB Fe23j2bP& C +WWWXTMMTb^WoI_/I=:D`x5;)bJkb2vX5`G +tttJ{HH{DGtqF>@F+y`yM3Ub]k1;m^ +888AKCK[RCA8(`v .Gy `N]Q,Q[4~8G/QS% +KKKg6+6Le+1KdP lQ|[~Pa7O%f8oeq["O&c +%%%9;i;}a,C%$fL]RQJGfwVv[!EZA8 Gkg; +00(9NP5o1WTWM +uuu`) )[&uG=Bd=$EkJmlV{BCiBo8*lRq +HHH~p3x]k1;B^ +888"K--K[A8( Wy `N]Q,![4wWxwi2*!S% +KKK!6116LgKd~.[~Pa7f%&8o*Vp*9!~&Sc +%% rrT/d90T0c +EEEU +WWW< +uuuw +tttJ +HH?g qr=T8K8- +```=4S94R=5`%$b\$se)!H1p6~.2nY+sdKzS+&s +:::ioOoY#&}:cA~b!^aDA\.i*|^;G7c-Ca$%{?a~a +CCC,ZvZ~v!xC;|2rf&?A|P#<}<:T_.howw|0gbi

3x]k1K@^ +888AK-K[-^l8(`v .Gy `N]Q,Q[4$8G/QU% +KKKg616L1!zKdP lQ|[~Pa7f%f8oDq["Oic +%%%9;,;}:(:%$fL]RQJGfwV![!EZR8 Gwx; +000nT3iT~ni0|_UP_>m@CBIFe,074Z I +WWW>TZTbR>>Wo=5G\f/I=:D`x`;)n0VK5LG +ttt^{l{DZZ^tq+*L8!@F+y` Myzp*n!XmyO +)))@3M3'NKz)^f?{lqPUfh5-I"1K %b!-"( +``{x:Q{`C:o:O +%%%|;ii;}a%$G+JGfwV![_EZS4aSyf2__; +000=TgT~wp<0|>B7u8P_>m@CBCFey74Zfd +WWWXTMMTb-WoI_/I=:D`x5;)bJkb2vX55G +tttJ{HH{D"tqF>@F+y`yMa&_lHqtRsl N +HHH~p p4 B5HOARoYq+dAbd|X|>3U]k1|B^);:C|z +888AK-K[R^l8(`v .Gy `N]t,Q[4$8G/tQ%`SVQ5t +KKKg616L1!zKdP lQ|[~Pa7f%f8oDq["Oic:&.uf +%KraCZC~,]CbC;8{ yA-y:?>G; +00HX9?0T0g +EE1jo\HyIu)u5 +tt4-oQg02)3); +88SYwgM:UK6K+ +:`|N%;%}9a%x%$7 cAG+A"Jq-5RK4jNAL$ +CCtSauCZCw, +000=TgT~3gin0|>B7u8P_>m@NBUFey74ZU. +WWW>TZTb<&Wo=5G\f/I=:DLxw;)n0VKLwG +tttH{RG{DRtqF>@F+y`3U]k1|K^ +888AKCK[^Cl<8(`v .Gy `N]U,=[4~8G/Q5% +KKKg616L&1sKdP lQ|[~Pa7O%}8oDq["f&c +%%%9;,;}99{%$fL]RQJGfwVv[kEZR8 G_!; +000=TgT~==<0|>B7u8P_>m@IBUFey74Z N +WWW>TMTbRX^Wo=5G\f/I=:D xw;)=0VKw&G +ttt^{H{D ^Rtq+*L8!@F+y` Mmzpdn!X;5O +)))@3M3'B@B)^f?{lqPUfh5-I<1K %b!R"( +``{x:Q{`C:o: +%%%|;ii;}C,%$G+JGfwV][_EZS4aSyf2_k; +000m@NB Fe23j2bP& U +WWWXTMMTb&ZWoI_/I=:Djx5;)bJkb2vX5wG +ttt^{l{D^l^tq+*L8!@F+y` MBzp*n!Xy;O +)))@3;3'@;@)^f?{lqPUfh5-I^1KL%b!"7( +```Q4tt4R=!`%$b\$se)&H+p69Vc9AQ +sd +:::io&oYS&u}:cA~b!^aDA\.:*C^;M7c-Ca$ +CCC,Z_Z~,,!C;|2rf&?A|P#c}n:TZ.hogw| +EEEde e^ddCE 6&?P*r,6[6MD&;TCP+LZRo +uu'*LO-TSHpH5 +)))| +888< +```Q +KKKz +:::S +%%%C +CCC! +0003 +EEEf +WW1ybgS*At{tG +HHH pB5p4BHOd%+dAbd;Xm>3Gq-R]k1;K^)K:|B +888AK-K[7^^8(`v .Gy `N]t,S[4$8G/5Q%`!V9Tt +KKKg616L&+gKdP lQ|[~Pa7O%S8oDq["v c:S.f#O +%%%9;i;}:iC%$fL]RQJGfwVv[kEZA8 G!_;CwKwxg +000=TcT~wB7u8P_>m@IBUFe,74ZUd Ef=daa +WWW>TMTbR>B7u8P_>m@CBCFe(oK4P+LZ@F+y`3t-R]k1;C^ +888AK^7K[^C@8(`v .Gy `N]Q,Q[4fWk8G/S=% +KK3c`!`|`%;%: +CCC,ZvwZ~w!C;|2rf&?A|P#<}<:TXz9XK8zvN5*jk3 f +WWW>TM3 -2 Zq-R=0GdS_C^( +```T4tS4RSQ`%s*(2g\$se)!H!p69Vc9A|VT``^pCV&Sc +%%%9;i{;}{|%$fL]RQJGfwV![!EZS4aSy&4}/d89+zg3| +EE1oG4y7ku)uj +tttR +HHHB +)))K +888^ +```S +KKj`*^B|\%;%: +CCC,Zw]Z~w_XC;|2rf&?A|P#<}<:T*zv.ho3n|0gbngw +EEEdefNe^f IE 6&?P*r,6[6XDX;T{Y!P+LZcoWZJ&ZR +uuu&)Lj)[\&uG$Xqvgd=$EkJmJV{9C?a&_lRqtlsNl +HHH~pB;p4B<5HOARoYq+dAbd|X|>3t-R]k1K|^);:m;B +888AK^7K[l@8(`v .Gy `N]Q,Q[4fWk8G/!=%`!V=!U +K{`p:o:Yi :s:cqM[9D@9gaX"6!`RKp^V2^pCV9~Kp^V299pR9'-fJ6&9^~r)~Kp9"S9TSv9gDj9gDjc +%%41N[%;%~ +CCC,Zw]Z~w_,C;|2rf&?A|P#<}<:T*zv.ho33| +EEEdefNe^fffE 6&?P*r,6[6XDX;T{Y!P+L3t-R]k1;B^ +888AK^7K[^^C8(`v .Gy `N]Q,Q[4fWk8G/St% +KKKg6z&6Lz++KdP lQ|[~Pa7f%f8oMV2q["&Oc +%%%9;{:;}{,a%$fL]RQJGfwV![!EZ{4}8 Gw_; +000=T3wT~3gw0|>B7u8P_>m@CBCFew3J74Z a +WW7Byv7*ct{tZ +HHH~p Bp4BmHOARoYq+dAbd|X|>3 -2 Zq-R=0GdS_CC( +```T4tS4RS=`%s*(2g\$se)!H!p69Vc9A|VT``^pCV&&c +%%%9;i{;}{C%$fL]RQJGfwV![!EZS4aSy&4}/d89+zgg| +EEEdeIfe^fUE 6&?P*r,6[6XDX;TKYBK0fY!y7gkIJ55G +ttt^{HR{DRNtq+*L8!@F+y`yMyzpW#SWiq#0g0q2&-;;^ +888AK-^K[^<8(`v .Gy `N]Q,Q[4wWxwigWk:{|cjV++d +:::ioOSoYS}:cA~b!^aDA\.|*|^;1/N1AQ/Brp&ad4__; +000=Tc3T~3n0|>B7u8P_>m@CBCFe23j2b*3JoHfB1YZZo +uu'*LO-TSHpH: +)))K +888^ +```S +KKKz +:::S +%%%{ +CCCw +0003 +EEkoKf y{u)uN +ttt^{R {DRl tq+*L8!@F+y`yMyzp.#0n!X<5OH3t-R]k1KK^);:m;B +80Yx`4`R9!`Y`%8fGisf)i~)/% +KKG9cmK6K+ +::dp|RKrdCZC_ +00(N?hoHBWTWZ +uuI*B!n-/HpH< +)0=d8K8[@^8s8(%VbQ`VmQLm!M.0{DdQv( +``mwxM`4`t= +KKKg6+6Lz+&gKdP lQ|[~Pa7v%}8oeq["&Sc +%%%{;,;}CC%$G+JGfwV,[kEZR8 Gkk; +0003T3pT~g0|_UP_>m@dBUFe-*3J74Z +WWW>TZTbXWo=5G\f/I=:Djxw;)n0VKL&G +ttt^{H{D^"^tq+*L8!@F+y`;Mmzpdn!XfyO +)))@3;3'KKNz)^f?{lqPUfh57I"1KL%b!^A( +```T4t4RT9;`%s*(2g\$se)&H!p6~2nYszd +:::ioOoYu&i:cA~b!^aDA\.:*|^;G7c-|($ +CCC,Z_Z~ww,wC;|2rf&?A|P#w}<:TZ.ho3p| +EEEUef%e^IaE ,0r,6[6RDM;TI_P+LZ3x]k1BB^ +888m@IBIFe,074Z d +WWW&T3x]k1m;^ +888AKCK["R"8(`v .Gy `N]=,Q[4~8G/TU% +KKKs6zf6Lz&Kd~.[~Pa7}%O8oD@q["&fc +%%%C;{~;}{9%$G+JGfwVk[vEZA-8 G_]; +000nT3iT~3p0|_UP_>m@UBIFe,074Z d +WWW&T@F+y`mM zpd%n!XBBO +)))@3;3'KBK)^f?{lqPUfh5^I"1KL%b!77( +```=4S94R=`%$b\$se)zH1p6~.2nY+sd +:::io&oYS&v :cA~b!^aDA\.:*C^;M7c-,|$ +CCC,Z_Z~vX]C;|2rf&?A|P#<}n:TZ.hogw| +EEEdeIe^CCNE 6&?P*r,6[6XD&;TIP+L3U]k1Kz^ +888AKCK[lR"8(`v .Gy `N]U,S[4~8G/!Q% +KKKg6+6L!e&KdP lQ|[~Pa7f%S8oeq["SSc +%%%9;i;}|{(%$fL]RQJGfwV![wEZA8 Gg!; +000=TcT~n]=0|>B7u8P_>m@CBfFe,74Zff +WW7Byv7*ct{tN" +HHHyp p4B:HOd%+dAbdBX;>3 -2 Zl?;;^ +888"K--K[^@8( Wy `N]U,![4wWxwi2*!!% +KKK!6116LzfKd~.[~Pa7v%&8o*Vp*9!~&&c +%%%9;,;}{{a(%$fL]RQJGfwV][!EZR8 Gw,; +000m@NB Fe23j2bP& +WWWXTMMTb3x]k1BB^ +888AKCK[R"^8(`v .Gy `N]Q,Q[4~8G/UU% +KKK!6116LzfKd~.[~Pa7v%&8o*Vp*9!~&&c +%%%9;,;}|a|%$fL]RQJGfwVk[!EZR8 G,]; +000=TgT~<]<0|>B7u8P_>m@UBCFey74ZdN +WWW>TZTbX-XWo=5G\f/I=:Dwx`;)n0VK&jG +ttt^{l{DJ"Jtq+*L8!@F+y`mMyzp*n!X~;O +)))@3;3'KKNB)^f?{lqPUfh57I"1KL%b!^"( +```Q4tt4RS9`%$b\$se)&H+p69Vc9AQ ++d +:::io&oYOuv:cA~b!^aDA\.|*C^;M7c-,:$ +CCC,Z_Z~vX]C;|2rf&?A|P#<}n:TZ.hogw| +EEECeIIe^f%E ,0r,6[6RDZ;TKYBK0\5ZZo +uuu&)5)[`\juG$Xqvgd=$EkJmRV{3a&_RRq +HH{g{D=0d8K8m@IBIFe,074Z f Ef=Ndd +WWW&T@F+y` M zpd%n!X<;OHBP;~~ +)))m3KC3'M|)^UbPUfh5-I-1K W%b!CA(8^n7AA +```=4S94RtU`%$b\$se)1H1p6~.2nY+edKzS&gg +:::}oSuoYO :cD@aDA\.:*i^;G+7c-,{$%{?,:C +CCCkZwXZ~w,C;A-?A|P#n}c:T_U.hogw|0nb3oW&Ja&_lGqtNsRJH +HHHmpB:p4myHOd%+dAbdmXM>3Ub]k1KK^)m:K|M +888m@CBIFe,074Z d Ef=N%I +WWW&T@F+y`BM zpd%n!X< +uuu&) )[` LuG$Xqvgd=$EkJmNV{Fa&_NRqtRsZ +HHH~p p4yy5HOARoYq+dAbd|Xm>3U]k1Kz^)K:|@K +888AK-K[B7u8P_>m@NBCFe(oK4P+LZZo +uuu&)L5)[w uG$Xqvgd=$Ek mJV{@8C-.#0n!X<@F+y`~MmzpW#SWiYRioq#0<B7u8P_>m@NBUFerP3o{Y!P+LZZoWB7u8P_>m@NBCFew3J74Z N +WW7Byv7*ct{tN +HHH~p Bp4m HOARoYq+dAbdBX|>3 -2 Zq-R=0GdS_CC( +```T4tS4R=t`%s*(2g\$se)&H!p69Vc9A|VT``^pCV&&c +%% rrT/d90T0n +EEEf +WWW< +uuI*B!n-/HpHm +)))@3KB3'Kz@)^f?{lqPUfh57I"1KV_j%b!C7(8CnB7u8P_>m@NBUFew3J74ZfN +WW7Byv7*ct{tR +HHH~p Bp4mmHOARoYq+dAbdBXm>3 -2 Zq-R=0GdS_CC( +``{:l^``p:o:S +%%%{ +CCd/X8?N}EeEf +WWW>TWdWoP{+0={ 0/:G +tt|Bint{tl +HH{Sgl0=S8K8C +``{:l^``p:o:& +%%`rS&u/)0T0g +E5oBWTWbccWdWoP{+0={ 0%CLo +uu1bkSu)u5 +tt4i-YTg&)3); +88cY(v:{cK6K+ +::C|1Q[r%CZC_ +0dNjEeE^%aE\E 7w4b6wnb$yZDP5R)jb& +WWwKB WTW> +uuu5)5)[L5`uG=Bd=$Ek^mJV{3a&_l^q +HHHBp3x]k1MN^ +888^K^lK[^8( Wy `N]T,=[4B7u8P_>m@fBCFey74ZdN +WWW&TB7u8P_>m@CBUFe(oK4P+LZ@F+y`~MmzpW#SWiYRioq#03t-R]k1KB^ +88cdY2p:jK6K& +:::ioOSoYSu:cA~b!^aDA\.i*|^;1/N1AQ/Brp&ad4__; +000=Tc3T~3i0|>B7u8P_>m@IBCFe23j2b*3JoHfB1YZZo +uuu&) L)[LNuG$Xqvgd=$EkHmJV{BCiBo!C?-TqS?#<3t-R]k1K;^);:m;B +80Yx`4`R;=`Y`%8fGisf)i1~/z2pm-xgWkgx|Wi*-xgWki/SiD=5i$Xhihff% +KKG9cmK6K! +:::ioSvoYu}:cA~b!^aDA\.|*C^; /B7c-,C$ +CCC,Zw]Z~XgC;|2rf&?A|P#<}n:T*zv.hog]| +EEEdefNe^%dE 6&?P*r,6[6XD&;T{Y!P+LZ>o +uu'k*8\-?HpHy +)))@3MK3'KK)^f?{lqPUfh5"I<1Kw_dwQG_jYpgx|W!S% +KKKg61z6LzzKdP lQ|[~Pa7f%}8o*Vp*9^V2|KQN`/,{$ +CCC,ZvwZ~wwC;|2rf&?A|P#<}n:TXz9XK8zvN5*jk3 f +WW7yq+*\it{tJ +HHHB +)))K +888^ +``|:9|m`l:o:f +%%%9;{:;}~9%$fL]RQJGfwV![kEZ{4}8 G_,;C_Kk_] +000=T3wT~i]0|>B7u8P_>m@CBUFew3J74Z . E =U N +WWW>T3x]k1KB^ +888AKCK[@| +EER2j]EeE +WW7Byv7*ct{tl +HH{g{D=0d8K8C +``|:9|m`l:o:& +%KraCZC~xxCbC;:"y|efpt9ay2; +00HX9?0T0n +EEEde e^dUIE 6&?P*r,6[6MD<;TCP+LR&o +uuu&) )[j5 uG$Xqvgd=$EkHmRV{Fa&_ "q +HH{Sgl0=S8K8^ +```Q4tt4RS5`%$b\$se)1H+p69Vc9AQ +1d +::d|]VrpaCZCw +000n +EEkoKf y{u)uL +ttt^{H{D lHtq+*L8!@F+y` MBzpdn!X;fOHBPyy: +)0=d8K8[^CC8s8(r;QL( +``mwxM`4`! +KK3c`!`|`%;%, +CC=/7RN5jEeE +WW1ybgS*At{tl +HTg2)3)'K;K)8)^"+ZxbPZy^ +88{wdU8K8C +``{x:Q{`C:o:& +%% rrT/d90T0g +EEkoKf y{u)u5 +t\-SHpH4B3x]k1B@^ +888AKCK[AC^8(`v .Gy `N]t,S[4~8G/=;% +KKKg616L1fzKdP lQ|[~Pa7O%S8oDq["ffc +%% Nrfp/+0T03 +EEEIeIIe^CE ,0r,6[6ZD&;TKYBK0\5ZXo +uu'*LO-TSHpHB +)))M +88SYwgM:UK6Kz +:::ioOoYOuS:cA~b!^aDA\.i*{^;G7c-||$%C?:iC +Cp/90T0~3gw060|1tKZ/udH;9KB| +EER2j]EeEI +WWW>TZTbm@ BUFe23j2bP& +WW7yq+*\it{tR +HHH +))&=wGUYF`4`S +KKKg616L&+eKdP lQ|[~Pa7O%S8oDq["#Sc:}.vO} +%KraCZC~w_gCbC;:"y:P>| +EER2j]EeE +WW7Byv7*ct{tl +HH{g{D=0d8K8C +``|:9|m`l:o:& +%KraCZC~w_,CbC;:"y:P>/udH;9KB| +EER2j]EeEC +WWW>TZTb-B7u8P_>m@IBUFe,74ZUI +WW7Byv7*ct{tR +HHHyp p4ByHOd%+dAbdMX;>3 -2 Zl?;K^ +88cY(v:{cK6Kz +:::} +%%`rS&u/)0T03 +EEEdeIe^NC.E 6&?P*r,6[6MD&;TIP+L&MoW..q +HHwWSvHpH< +))s2=.0Y|`4`! +KK3`br|KN%;%, +CCd/X8?N}EeE +WHyku)u[LLLucuGO-7g$Xb{2KL2}Lj2f<3"l0R]k1`s=DTv^l( +```T4QU4R=SQ`%s*(2g\$se)!H!p6_Q{T2nYJ3`Kl~}Sc +%%%9;|:;}CC{%$fL]RQJGfwV![!EZ:fp}8 G'=/;)Bnp| +EEEdeCNe^U NE 6&?P*r,6[6XDX;Tl\H!P+L(7yM{XL`G +tt4i-YTg&)3)M +888AK-"K[^"8(`v .Gy `N]Q,Q[4wWxwi{#pggx|W!S% +KKKg61!6Lz!KdP lQ|[~Pa7f%f8o*Vp*9d0`^^pCV&Sc +%%%9;i|;}{|%$fL]RQJGfwV![!EZS4aSy=Mp&&ad4_w; +000=TcB7u8P_>m@CBCFe23j2b1X5**jk3 f +WW7yq+*\it{tH +HHHB +)))K +888^ +```S +KKj`*^B|\%;%i +CCC,Z!]Z~kwwC;|2rf&?A|P#<}<:T1udv.ho\(N)}&fa E +WWW>TXRTb&3"l0R]k1`s=DTv3"l0R]k1`s=DTv^"( +``{x:Q{`C:o:S +%%%9;i|;}{(%$fL]RQJGfwVv[!EZS4aSy=Mp&&ad4_w; +00(N?hoHBWTW< +uuuL +ttc-Wqvg.)3)K +888AK"7K["C^8(`v .Gy `N]t,Q[4I2pk8G/E{: U z!dK+ +:`|N%;%}{{i%x%$"oKQfL1\A-{A6C{Ag $ +CCtSauCZCk +000=TB7u8P_>m@NBCFe(P5J74Zx1oqs5<TXRTb<>3"l0R]k1`s=DTvCl( +```T4QU4RSTU`%s*(2g\$se)!Hsp6_Q{T2nYJ3`Kl~Svc +%%%9;|:;}{a:%$fL]RQJGfwV![kEZ:fp}8 G'=/;)Bgw| +EE1jo\HyIu)uj +ttt^{HJ{DRRtq+*L8!@F+y`yMmzpW#SWi{ITqqS?#TXRTb<>3"l0R]k1`s=DTvCl(8C +```T4QU4RSTU`%s*(2g\$se)!Hsp6_Q{T2nYJ3`Kl~Svc:& +%%%9;|:;}{a:%$fL]RQJGfwV![kEZ:fp}8 G'=/;)Bgw|0g +E5oBWTWb<<>WdWol*HfCy'*io_RoMR o,5Sq +HHwWSvHpH +)))@3|B3'mK;)^f?{lqPUfh5"I"1Kr.0j%b!6cY-F*S9% +KKKg6!&6LszsKdP lQ|[~Pa7f%f8og!`2q["Wd|j\LC,$ +CCC,Z!]Z~kk_C;|2rf&?A|P#<}<:T1udv.ho\(N)}&U% +WWW>TXRTb&ZMWo=5G\f/I=:D`x`;)Ov7R0VKW'*1A*RNq +HH{Sgl0=S8K8- +```T4tQ4RS=`%s*(2g\$se)!H!p69Vc9A38{||cjV++d +:::ioOfoYS}:cA~b!^aDA\.|*|^;1/N1A oKQQN`/,,$ +CCC,Zv!Z~wkC;|2rf&?A|P#<}<:TXz9XK(kd889+zgg| +EEEdeICe^fUE 6&?P*r,6[6XDX;TKYBK07*HffB1YZZo +uu'*LO-TSHpH +)))K +888^ +```S +KKKz +::C|1Q[r%CZCv +000=TB7u8P_>m@CBCFe(P5J74Zx1oqs5B7u8P_>m@CBfFe23j2b1X5**jk3 +WWW>TMXTbcWo=5G\f/I=:D`xL;)bJkb2'-7ggkIJ55G +tt4-oQg02)3)m +888^ +```S +KKj`*^B|\%;%C +CCC,Z!]Z~w]XC;|2rf&?A|P#<}3:T1udv.ho\(N)}&C E +WWW>TXRTb3 -2 Zsw0qq2&-;;^ +88cY(v:{cK6Ks +:::S +%%%{ +CCd/X8?N}EeEU +WWW>TXRTbX^MWo=5G\f/I=:Djx`;)Ov7R0VKW'*1A*Rlqtl +HHH~py;p4 3"l0R]k1`s=DTv^@(8C +`p:cK6KLzszKuKd_8{|t`d|NA-{A6CiAbdT$ +CCtSauCZCw +000=TB7u8P_>m@NBUFe(P5J74Zx1oqs5XZo +uu'k*8\-?HpHB +)))@3M|3'mm)^f?{lqPUfh57I<1Kw_dwQcH0GGdS_CC( +``{:l^``p:o:S +%%%{ +CCd/X8?N}EeEf +WWW>TXRTbXc&Wo=5G\f/I=:Djxw;)Ov7R0VKW'*1A*Jlqtl +HTg2)3)'Kmm)8)^"w0qx=cYxi/SiD=5iB7u8P_>m@CBUFe(P5J74Zx1oqs5TMXTbB7u8P_>m@CBUFe(P5J74Zx1oqs53x]k1|z^ +888^KCK[7@8( Wy `N]T,=[4~8G/U9% +KKKz6zf6LfKd~.[~Pa7i%}8oD@q["&uc +%% Nrfp/+0T03 +EEEdeC.e^f %E 6&?P*r,6[6XDX;TKYBK07Y\fyLwG +tt4-oQg02)3)K +8887 +``|:9|m`l:o:S +%%%{;{~;}~%$G+JGfwV,[kEZA-8 G_X;CkK!X +0dNjEeE^fUaE\E }o)fY1Ho +uu1bkSu)u5 +tt4i-YTg&)3); +88cY(v:{cK6K+ +::C|1Q[r%CZC_ +0dNjEeE^fC E\E }o)5yG +tt|Bint{tl +HH{Sgl0=S8K8C +``{:l^``p:o:& +%%`rS&u/)0T0g +E5oBWTWbB7u8P_>m@NBCFey74ZaC +WWW>TZTb--cWo=5G\f/I=:D`x`;)n0VK\wG +tttN{RZ{DJltqF>@F+y`yM zpd%n!XB7u8P_>m@IBCFe074Z +WWW>TZTb<<>&Wo=5G\f/I=:Djx`;)n0VKw5G +ttt^{l{D""Rtq+*L8!@F+y`yMyzp*n!X:;O +)))m3KC3'|m)^UbPUfh5"I-1K W%b!C@( +``{x:Q{`C:o:S +%%%9;|a;}{ii%$fL]RQJGfwVv[!EZS4aSy=4f&/gg| +EE1oG4y7ku)uL +tttH +HH?g qr=T8K8^ +```=4S94RQ=`%$b\$se)!H1p6~.2nY+fdKzSfr& +:`|N%;%}{i:%x%$/Q\A\1N1ALjNAv0{n5Hr/)Nkb*&h~&)j +WWwKB WTWZ +uu'k*8\-?HpH< +))s= fYpx`4`! +KKj`*^B|\%;%, +Cp/90T0~3c]060|z8)K)X9XKB;9KA4n | +EER2j]EeEC +WWW>TXTbMM^Wo=5G\f/I=:D x`;)B0VKL&G +ttt^{l{DGlJtq+*L8!@F+y` Myzp*n!Xy5O +)))m3KC3'|N)^UbPUfh5-I-1K W%b!CC( +``{x:Q{`C:o:S +%%%9;|a;}{i(%$fL]RQJGfwVv[!EZS4aSy=4f&/gw| +EE1oG4y7ku)uL +tttJ +HH?g qr=T8K8^ +```=4S94RQ5`%$b\$se)1H1p6~.2nY++dKzS!!! +:`|N%;%}{i9%x%$/Q\A\1N1ALjNAv0Cn5Hr/)Nkb*&h~&)j +WWwKB WTWZ +uu'k*8\-?HpH< +))s= fYpx`4`! +KKj`*^B|\%;%, +Cp/90T0~3ci060|z8)K)X9XKB;9KA4< | +EER2j]EeEI +WWW>TXTb&-B7u8P_>m@CBUFe23j2b13P*oZ>o +uu'*LO-TSHpHB +)))M +88SYwgM:UK6Kz +:::}oSuoYfu:cD@aDA\.i*i^;G+7c-,C$%C?,C| +Cp/90T0~3cp060|z8)K)X9XKB;9KA4c | +EER2j]EeEI +WWW>TXTb&RcWo=5G\f/I=:D`xw;)B0VKLNG +ttt^{l{DZlltq+*L8!@F+y` Mmzp*n!XymO +)))@3;3'CzN)^f?{lqPUfh5-I"1KL%b!"<( +```=4S94Rt!`%$b\$se)1H1p6~.2nY+1d +::dp|RKrdCZCw +000=T<]T~i]0|>B7u8P_>m@CBUFe23j2b13P*oZ-o +uu'*LO-TSHpHB +)))M +88SYwgM:UK6Kz +:::}oSuoYO&:cD@aDA\.i*i^;G+7c-,i$%C?,C| +Cp/90T0~3wg060|z8)K)X9XKB;9KA4c /GNosyI2gX+!XMkG +tt|Bint{tl +HH{Sgl0=S8K8C +``{:l^``p:o:& +%%`rS&u/)0T0g +E5oBWTWbTX-Tbc&Wo=5G\f/I=:D`xw;)bJkb2'Jvg*lNq +HH{g{D=0d8K8^ +```t +KKj`*^B|\%;%{ +CCCkZwXZ~vkC;A-?A|P#c}c:T_U.hog]|0nbgn< +E5oBWTWbB7u8P_>m@IBCFe23j2b13P*oZ-o +uu'*LO-TSHpHB +)))| +88SYwgM:UK6Kz +:::}oSuoYOO:cD@aDA\.i*i^;G+7c-,~$%{?||| +Cp/90T0~3w<060|z8)K)X9XKB;9KA4= | +EER2j]EeEC +WWW>TXTbM-&Wo=5G\f/I=:D x`;)B0VK`5G +ttt^{l{DRNNRtq+*L8!@F+y`;Myzp*n!Xf5O +)))m3KC3'MC)^UbPUfh57I-1K W%b!CC( +``{x:Q{`C:o:S +%%%9;|a;}{:i%$fL]RQJGfwVv[!EZS4aSy=4f&/3g| +EE1oG4y7ku)uL +tttJ +HH?g qr=T8K8^ +```=4S94Rt9`%$b\$se)&H1p6~.2nY++dKzS!!! +:`|N%;%}{:i%x%$/Q\A\1N1ALjNAv09n5Hr/)Nkb*&h~&)j +WWwKB WTWZ +uu'k*8\-?HpH< +))s= fYpx`4`! +KKj`*^B|\%;%, +Cp/90T0~3ww060|z8)K+N1oB0x3Z0f54j5qBo +uu1bkSu)u` +ttt^{J{DJRltq+*L8!@F+y`yMyzp%n!XmmO +)))@3@3'mB)^f?{lqPUfh5"I"1KVdS_%b!C^( +```T4;4RSQ`%s*(2g\$se)!H!p6[QV`MV2\K7c-,{$ +CC=a/udNkEeEf +WWW>TX-Tb- +u7*it{tDR "t/tqC!Aoc-{g2Z<$KZq?Du?p2^ +88{wdU8K8C +``{x:Q{`C:o:& +%% rrT/d90T0g +EEkoKf y{u)u5 +t\-SHpH4B;~HGHO#q/i?gs=dQ)M)( +``mwxM`4`t +KKKg6!6LsezKdP lQ|[~Pa7f%f8o@q["&fc +%%%9;,;}~~i%$fL]RQJGfwVv[!EZR8 Gk_; +000=TgT~<=<0|>B7u8P_>m@UBCFey74Z%N +WWW&T@F+y`mM zpd%n!X<B7u8P_>m@IBfFe,74Z. +WW7Byv7*ct{tR +HHH~pyfp4~5HOARoYq+dAbd|XK>3 -2 Zs-lq=^7( +``{:l^``p:o:S +%%%| +CCd/X8?N}EeEf +WWW>TMTbRZcWo=5G\f/I=:D xL;)=0VK 5GuLQ L\ +t\-SHpH4B;5HGHOQqli/WSWiRlS,m@IB Fe,074Z I Ef=CCC +WHyku)u[L 5ucuG+gM2{bkb2XMk.LlFw2gX+!XMkG +tt|Bint{tH +HHH~pyp4;5yHOARoYq+dAbdBX|>3b]k1K@^ +888AKCK[^^@l8(`v .Gy `N]U,Q[4~8G/QT% +KKKg6+6Lz+z1KdP lQ|[~Pa7v%S8oeq["vOc +%%%9;i;}:,:%$fL]RQJGfwVv[wEZA8 G],; +00(9NP5o1WTW< +uuu&)` )[L\&uG$Xqvgd=$Ek mJV{BCiBo4C8!-<;O +))s= fYpx`4`S +KKK1 +::C|1Q[r%CZCw +000=TcT~wgw0|>B7u8P_>m@IBfFe,74ZNd EU=Caf +WHyku)u[L LucuG+gM2{bkb2XMk.LlF`2gX+!XMkG +tt|Bint{tH +HHH~pyp4y:3b]k1MM^ +888AKCK[A7@8(`v .Gy `N]t,S[4~8G/95% +KKKg6+6LgssKdP lQ|[~Pa7O%S8oeq["v&c +%%%|;{~;}|,%$G+JGfwVv[_EZA-8 G_g; +00(9NP5o1WTW< +uuu&)` )[Lw uG$Xqvgd=$EkHmRV{BCiBo4C8!-B O +))s= fYpx`4`S +KKK1 +::C|1Q[r%CZCw +000m@IB Fe,074Z . Ef=%dI +WHyku)u[L wucuG+gM2{bkb2XMk.wlF 2gX+!XMkG +tt|Bint{tJ +HHH~pyp4;~;HOARoYq+dAbdBXm>3b]k1M@^ +888AKCK[^^-R8(`v .Gy `N]U,=[4~8G/;!% +KKKg616L&1!KdP lQ|[~Pa7O%}8oDq["fSc +%% Nrfp/+0T03 +EEEdeC.e^fafE 6&?P*r,6[6RD&;TKYBK07Y\fyLjG +tt4-oQg02)3)K +888" +``|:9|m`l:o:S +%%%9;i;}:i|%$fL]RQJGfwVv[kEZA8 G!w;CwKw]] +0dNjEeE^f.CE\E h*)b}2j2b&)j{UMDNb*&h~&)j +WWwKB WTWM +uuu&)`)[ 5&uG$Xqvgd=$EkHmNV{>a&_NJq +HHH~p3x]k1MM^ +888AKCK[A7<8(`v .Gy `N]t,S[4~8G/9!% +KKK!6zf6Ls1Kd~.[~Pa7O%&8oD@q["&&c +%% Nrfp/+0T03 +EEEdeC.e^fCNE 6&?P*r,6[6MD&;TKYBK07Y\fy5&G +tt4-oQg02)3)K +888- +``|:9|m`l:o:S +%%%|;{~;}Ci%$G+JGfwVv[_EZA-8 G__;CkK_k! +0dNjEeE^f.IE\E h*)b}2j2b&)j{CMD.b*&h~&)j +WWwKB WTWX +uuu&)`)[w \uG$Xqvgd=$EkJmNV{>a&_lGq +HHH~p3x]k1m|^ +888AK-K[7"A8(`v .Gy `N]t,=[4$8G/=U% +KK3c`!`|`%;%{ +CCC,Z!gZ~X!C;|2rf&?A|P#<}n:TXz9XK(zu8N C +WW7yq+*\it{tR +HHHy +))&=wGUYF`4`S +KKKg616L&!gKdP lQ|[~Pa7O%}8oDq["}vc:S.v +%KraCZC~wg]CbC;T&9y%SaSy29a#!Be,y&2TJ29a; +00HX9?0T0w +EEEdeCe^I IE 6&?P*r,6[6MD&;T_P+L&Zo +uuu&)5)[N5LuG$Xqvgd=$EkHmNV{3a&_JJq +HHH~p3x]k1MM^ +888AKCK[-R-8(`v .Gy `N]Q,S[4~8G/9!% +KKK!6zf6Ls+Kd~.[~Pa7f%&8oD@q["&uc +%% Nrfp/+0T03 +EEEdeC.e^fCIE 6&?P*r,6[6MD&;TKYBK07Y\fy5 G +tt4-oQg02)3)K +8887 +``|:9|m`l:o:S +%%%|;{~;}C,%$G+JGfwV![_EZA-8 G_X;CkK]vX +0dNjEeE^f..E\E h*)b}2j2b&)j{IMD%b*&h~&)j +WWwKB WTWX +uuu&)`)[wjjuG$Xqvgd=$EkJmNV{>a&_R q +HHH~p3x]k1|B^ +888AK-K[7Rl8(`v .Gy `N]t,=[4$8G/UT% +KK3c`!`|`%;%{ +CCC,Z!gZ~X]C;|2rf&?A|P#<}n:TXz9XK(zu8N N +WW7yq+*\it{tR +HHHy +))&=wGUYF`4`S +KKKg616L&reKdP lQ|[~Pa7O%}8oDq["vic:S.v +%KraCZC~wg,CbC;T&9y%SaSy29a#vBexy&2TJ29a; +00HX9?0T0w +EEEdeCe^IU%E 6&?P*r,6[6MD&;T_P+LMMo +uuu&)5)[N &uG$Xqvgd=$EkHmNV{3a&_^Gq +HHH~p3x]k1mB^ +888AKCK["l^8(`v .Gy `N]Q,S[4~8G/!T% +KKK!6zf6LzrKd~.[~Pa7f%&8oD@q["&Oc +%% Nrfp/+0T03 +EEEdeC.e^fIUE 6&?P*r,6[6MD&;TKYBK07Y\fyL G +tt4-oQg02)3)K +8887 +``|:9|m`l:o:S +%%%|;{~;}{a%$G+JGfwV![_EZA-8 G_v;CkKgg] +0dNjEeE^f.%E\E h*)b}2j2b&)j{NMDf b*&h~&)j +WWwKB WTWX +uuu&)`)[wjwuG$Xqvgd=$EkJmNV{>a&_RNq +HHH~p3x]k1M;^ +888AK-K[7A<8(`v .Gy `N]t,=[4$8G/5!% +KK3c`!`|`%;%{ +CCC,Z!gZ~XvC;|2rf&?A|P#<}n:TXz9XK(zu8N I +WW7yq+*\it{tR +HHHy +))&=wGUYF`4`S +KKKg616L&gsKdP lQ|[~Pa7O%}8oDq["#&c:S.#S +%KraCZC~wgxCbC;T&9y%SaSy29a#]Bewwy&2TJ29a; +00HX9?0T0w +EEEdeCe^ICfE 6&?P*r,6[6MD&;T_P+LM>o +uuu&)5)[Nj uG$Xqvgd=$EkHmNV{3a&_Z"q +HHH~p3x]k1B@^ +888AKCK[-<@8(`v .Gy `N]Q,S[4~8G/tt% +KKK!6zf6LzfKd~.[~Pa7f%&8oD@q["&#c +%% Nrfp/+0T03 +EEEdeC.e^fICE 6&?P*r,6[6MD&;TKYBK07Y\fyLjG +tt4-oQg02)3)K +8887 +``|:9|m`l:o:S +%%%|;{~;}{~%$G+JGfwV![_EZA-8 G_g;CkK]vX +0dNjEeE^fd E\E h*)b}2j2b&)j{.MDfUb*&h~&)j +WWwKB WTWX +uuu&)`)[L\NuG$Xqvgd=$EkJmRV{>a&_l"q +HHH~p3x]k1K;^ +888AK-K[-@C8(`v .Gy `N]t,S[4$8G/Q=% +KK3c`!`|`%;%{ +CCC,Z!gZ~ggC;|2rf&?A|P#<}3:TXz9XK(zu8N U +WW7yq+*\it{tR +HHHy +))&=wGUYF`4`S +KKKg616L1f+KdP lQ|[~Pa7O%S8oDq["f}c:S.#S +%KraCZC~w,wCbC;T&9y%SaSy29a#gBew!y&2TJ29a; +00HX9?0T0c +EEEdeCe^C.UE 6&?P*r,6[6MD<;T_P+L&-o +uuu&)5)[&wNuG$Xqvgd=$EkHmRV{3a&_ "q +HHH~p3x]k1m@^ +888"K^@K[^-8( Wy `N]Q,![4$b8G/!=% +KK3c`!`|`%;%{ +CCC,Z!gZ~wk_C;|2rf&?A|P#c}3:TXz9XK(zu8N % +WW7yq+*\it{tR +HHH +))&=wGUYF`4`S +KKK!6zf6Lz1Kd~.[~Pa7f%&8oD@q["&}c:S.uvu +%KraCZC~w,kCbC;T&9y%SaSy29a#,Bewvy&2TJ29a; +00HX9?0T0c +EEEdeCe^. NE 6&?P*r,6[6RDX;T_P+L&^o +uuu&)5)[LwL\uG$Xqvgd=$Ek mJV{3a&_"^q +HHH~p3x]k1@m^ +888AK-K[-AR8(`v .Gy `N]t,S[4$8G/=9% +KK3c`!`|`%;%{ +CCC,Z!gZ~k_wC;|2rf&?A|P#w}<:TXz9XK(zu8N a +WW7yq+*\it{tR +HHH +))&=wGUYF`4`S +KKKg616L1grKdP lQ|[~Pa7O%S8oDq["}uc:}.OSS +%KraCZC~w,!CbC;T&9y%SaSy29a#,Bew]y&2TJ29a; +00HX9?0T0c +EEEdeCe^CNdE 6&?P*r,6[6MD<;T_P+L&3x]k1@|^ +888"K^@K[^<8( Wy `N]Q,![4$b8G/!!% +KK3c`!`|`%;%{ +CCC,Z!gZ~wwxC;|2rf&?A|P#c}3:TXz9XK(zu8N d +WW7yq+*\it{tR +HHH +))&=wGUYF`4`S +KKK!6zf6LzsKd~.[~Pa7f%&8oD@q["&&c:S.u#v +%KraCZC~w,vCbC;d/GKN5bKDuoy{*co!*O#*1iq +HHwWSvHpH< +))s2=.0Y|`4`! +KK3`br|KN%;%, +CCd/X8?N}EeE +WHyku)u[L&jucuGI*Eo-TiJCJO +))W 2r)3)B +888AK"K["m@dBUFey74Zf. +WWW@F+y`~Mmzpd%n!XB7u8P_>m@IBCFey74Z % +WWW>TZTbWo=5G\f/I=:D x`;)n0VKw&G +ttt^{l{DRN"Gtq+*L8!@F+y`fMBzp*n!XmBO +)))|3KC3'B;)^UbPUfh5RIC1K W%b!C<( +``{x:Q{`C:o:S +%%%9;|a;}(~%$fL]RQJGfwV![!EZS4aSy=4f&/gn| +EE1oG4y7ku)uL +ttt +HH?g qr=T8K8^ +```Q4S94RU!`%$b\$se)rH+p6~.2nY+sdKsSrss +:`|N%;%}{9~%x%$N4Av0,n$ +CCtSauCZCv +000=TB7u8P_>m@CBCFe074ZUa +WWW>TZTb-X&Wo=5G\f/I=:D`x`;)n0VKj G +ttt^{l{DRJZtq+*L8!@F+y`BMyzp*n!X mO +)))B3KC3'M)^UbPUfh5CI"1K W%b!C-( +``{x:Q{`C:o:S +%%%9;|a;}{,:%$fL]RQJGfwV![!EZS4aSy=4f&/gp| +EE1oG4y7ku)uL +tttH +HH?g qr=T8K8^ +```U4S94Rt`%$b\$se)+H!p6~.2nY+1dKzS&e +:`|N%;%}{9(%x%$N4Av0{n$ +CCtSauCZCv +000=TB7u8P_>m@CBCFe074ZC +WWW>TZTb^&ZWo=5G\f/I=:D x`;)n0VKj G +ttt^{l{DRJNtq+*L8!@F+y`BMyzp*n!XyfO +)))B3KC3'K;)^UbPUfh5CI"1K W%b!^C( +``{x:Q{`C:o:S +%%%9;|a;}{,a%$fL]RQJGfwV![!EZS4aSy=4f&/3g| +EE1oG4y7ku)uL +tttH +HH?g qr=T8K8^ +```U4S94RS!`%$b\$se)+H!p6~.2nYz+dKzS&ee +:`|N%;%}{~,%x%$N4Av0Cn$ +CCtSauCZCv +000=TB7u8P_>m@CBCFe074ZfI +WWW>TZTb-Z&Wo=5G\f/I=:D`x`;)n0VKw G +ttt^{l{DRlZtq+*L8!@F+y`BMyzp*n!XBmO +)))B3KC3'C)^UbPUfh5CI"1K W%b!C@( +``{x:Q{`C:o:S +%%%9;|a;}{,,%$fL]RQJGfwV![!EZS4aSy=4f&/gc| +EE1oG4y7ku)uL +tttH +HH?g qr=T8K8^ +```U4S94R9`%$b\$se)+H!p6~.2nY+fdKzS&e +:`|N%;%}{~{%x%$N4Av0Cn5Hr/)Nkb*&h~&)j +WWwKB WTWZ +uu'k*8\-?HpH< +))s= fYpx`4`! +KKj`*^B|\%;%, +Cp/90T0~3in060|9HKA4< | +EER2j]EeEI +WWW>TXTbXX&Wo=5G\f/I=:D`x`;)B0VK G +ttt^{l{D" tq+*L8!@F+y`yMyzp*n!X~5O +)))@3;3'Km;)^f?{lqPUfh5^I"1KL%b!<-( +```U4S94RS=`%$b\$se)+H!p6~.2nYzsd +::dp|RKrdCZCw +000=T<]T~33g0|>B7u8P_>m@CBCFe23j2b13P*oTXTb&^cWo=5G\f/I=:D`x`;)B0VKL5G +ttt^{^{DN^tq+*L8!@F+y`yMyzp.S?#n!XB7u8P_>m@CBCFe23j2b13P*oZXo +uu'*LO-TSHpHB +)))| +88SYwgM:UK6Kz +:::io oYS}:cA~b!^aDA\.|*|^;JR/r{4}Pd.hogg|03b3=] +E5oBWTWbTX-Tba&_RJqtRs G +HTg2)3)'Kz;)8)^2WZD<;TCP+LZZoWWTWZWdWZW3x]k1;m^))3);)8);)K)K +888CKCK["8( Wy `N]T,S[4~8G/!Q%``4`!`Y`S`=VQ9!9Q`S +KKK+6+6L1Kd~.[~Pa7i%S8oeq["&Oc::o:&:s:&:S:S +%%%,;,;}:%$G+JGfwV,[wEZR8 G_];CCZC_CbC_CwCw +000gTgT~]0|_UP_>m@dBfFey74Z . EEeE E\E EfEf +WWWZTZTb>WoI_/I=:D&xL;)n0VK5&Guu)u5ucu5uLuL +tttl{l{DZtqF>@F+y`~MBzp*n!X<:OHHpHD<;TCP+L3x]k1KB^))3);)8);)K)K +888CKCK[^R8( Wy `N]T,S[4~8G/S5%``4`!`Y`!`S`S +KKK+6+6LzgKd~.[~Pa7i%S8oeq["Sic::o:&:s:&:S:S +%%%,;,;}{~%$G+JGfwV,[wEZR8 GwX;CCZC_CbC_CwCw +000gTgT~3p0|_UP_>m@dBfFey74Zfa EEeE E\E EfEf +WWWZTZTb&ZWoI_/I=:D&xL;)n0VKw5Guu)u5ucu5uLuL +tttl{l{DNRtqF>@F+y`~MBzp*n!XmBOHHpHD<;TCP+L&-oWWTWZWdWZW3x]k1mC^))3);)8);)K)K +888CKCK[m@dBfFey74ZCU EEeE E\E EfEf +WWWZTZTbXXWoI_/I=:D&xL;)n0VK``Guu)u5ucu5uLuL +tttl{l{DJHtqF>@F+y`~MBzp*n!Xy OHHpHD<;TCP+LX^oWWTWZWdWZW3x]k1MK^))3);)8);)K)K +888CKCK[-<8( Wy `N]T,S[4~8G/t=%``4`!`Y`!`S`S +KKK+6+6L1!Kd~.[~Pa7i%S8oeq["Ofc::o:&:s:&:S:S +%%%,;,;}ii%$G+JGfwV,[wEZR8 Gvv;CCZC_CbC_CwCw +000gTgT~cw0|_UP_>m@dBfFey74ZIN EEeE E\E EfEf +WWWZTZTbM-WoI_/I=:D&xL;)n0VK Guu)u5ucu5uLuL +tttl{l{DH^tqF>@F+y`~MBzp*n!X ~OHHpHD<;TCP+LR&oWWTWZWdWZW3x]k1BM^))3);)8);)K)K +888CKCK[778( Wy `N]T,S[4~8G/UU%``4`!`Y`!`S`S +KKK+6+6L&rKd~.[~Pa7i%S8oeq["v#c::o:&:s:&:S:S +%%%,;,;}:9%$G+JGfwV,[wEZR8 G],;CCZC_CbC_CwCw +000gTgT~wi0|_UP_>m@dBfFey74ZN% EEeE E\E EfEf +WWWZTZTbR^WoI_/I=:D&xL;)n0VKj\Guu)u5ucu5uLuL +tttl{l{D"ltqF>@F+y`~MBzp*n!XfD<;TCP+L-RoWWTWZWdWZW3x]k1N@^))3);)8);)K)K +888CKCK[R@8( Wy `N]T,S[4~8G/59%``4`!`Y`!`S`S +KKK+6+6LreKd~.[~Pa7i%S8oeq["# c::o:&:s:&:S:S +%%%,;,;}9,%$G+JGfwV,[wEZR8 G,_;CCZC_CbC_CwCw +000gTgT~=30|_UP_>m@dBfFey74Zdf EEeE E\E EfEf +WWWZTZTb>&WoI_/I=:D&xL;)n0VK&wGuu)u5ucu5uLuL +tttl{l{D^JtqF>@F+y`~MBzp*n!X~yOHHpHD<;TCP+L>coWWTWZWdWZW3x]k1C;^))3);)8);)K)K +888CKCK[@^8( Wy `N]T,S[4~8G/9S%``4`!`Y`!`S`S +KKK+6+6LfsKd~.[~Pa7i%S8oeq["u}c::o:&:s:&:S:S +%%%,;,;}~|%$G+JGfwV,[wEZR8 GX!;CCZC_CbC_CwCw +000gTgT~ic0|_UP_>m@dBfFey74Z%I EEeE E\E EfEf +WWWZTZTbcRWoI_/I=:D&xL;)n0VKNjGuu)u5ucu5uLuL +tttl{l{DZ"tqF>@F+y`~MBzp*n!X:fOHHpHD<;TCP+L^3x]k1z|^))3);)8);)K)K +888CKCK[l-8( Wy `N]T,S[4~8G/;t%``4`!`Y`!`S`S +KKK+6+6Le&Kd~.[~Pa7i%S8oeq[" vc::o:&:s:&:S:S +%%%,;,;}(a%$G+JGfwV,[!EZR8 G__;CCZC_CbC_CwCw +000gTgT~p=0|_UP_>m@dBCFey74Z f EEeE E\E EfEf +WWWZTZTb^cWoI_/I=:D&x`;)n0VK5wGuu)u5ucu5uLuL +tttl{l{DGGtqF>@F+y`~Myzp*n!XDX;TCP+LZcoWWTWZWdWZW3x]k1K;^))3);)8);)K)K +888CKCK[^CA8( Wy `N]T,Q[4~8G/SS%``4`!`Y`!`S`S +KKK+6+6Lz+fKd~.[~Pa7i%f8oeq["S}c::o:&:s:&:S:S +%%%,;,;}{,(%$G+JGfwV,[!EZR8 Gw!;CCZC_CbC_CwCw +000gTgT~33g0|_UP_>m@dBCFey74ZfI EEeE E\E EfEf +WWWZTZTb<<@F+y`~Myzp*n!XBfOHHpHDX;TCP+L&3x]k1m|^))3);)8);)K)K +888CKCK[^m@dBCFey74ZUd EEeE E\E EfEf +WWWZTZTb<&MWoI_/I=:D&x`;)n0VKwNGuu)u5ucu5uLuL +tttl{l{DRN tqF>@F+y`~Myzp*n!Xm5OHHpHDX;TCP+LXMoWWTWZWdWZW3x]k1|N^))3);)8);)K)K +888CKCK[^""8( Wy `N]T,Q[4~8G/QT%``4`!`Y`!`S`S +KKK+6+6Lz!1Kd~.[~Pa7i%f8oeq["fuc::o:&:s:&:S:S +%%%,;,;}{|:%$G+JGfwV,[!EZR8 G!x;CCZC_CbCwCkK!xX]gCw +000gTgT~3<]0|_UP_>m@dBCFey74ZI EEeE E\E EfEf +WWWZTZTbWoI_/I=:D&x`;)n0VK LGuu)u5ucu5uLuL +tttl{l{DRJZtqF>@F+y`~Myzp*n!X mOHHpHDX;TCP+LM>oWWTWZWdWZW3x]k1Mz^))3);)8);)K)K +888CKCK[^-R8( Wy `N]T,Q[4~8G/U!%``4`!`Y`S`=V=9QtS`S +KKK+6+6Lz1gKd~.[~Pa7i%f8oeq["vSc::o:&:s:&:S:S +%%%,;,;}{i~%$G+JGfwV,[!EZR8 G]k;CCZC_CbC_CwCw +000gTgT~3cp0|_UP_>m@dBCFey74ZNC EEeE E\E EfEf +WWWZTZTb@F+y`~Myzp*n!X;;OHHpHDX;TCP+L-ZoWWTWZWdWZW3x]k1Nm^))3);)8);)K)K +888CKCK[^7l8( Wy `N]T,Q[4~8G/5Q%``4`!`Y`S`=Vt!UU`S +KKK+6+6Lzr+Kd~.[~Pa7i%f8oeq["#Oc::o:&:s:&:S:S +%%%,;,;}{a{%$G+JGfwV,[!EZR8 Gg];CCZC_CbC_CwCw +000gTgT~3]n0|_UP_>m@dBCFey74Z.. EEeE E\E EfEf +WWWZTZTb<-XWoI_/I=:D&x`;)n0VK &Guu)u5ucu5uLuL +tttl{l{DR"HtqF>@F+y`~Myzp*n!Xf:OHHpHDX;TCP+L>XoWWTWZWdW3x]k1@B^))3);)8);)K)K +888CKCK[^A<8( Wy `N]T,Q[4~8G/T5%``4`!`Y`!`S`S +KKK+6+6Lzg!Kd~.[~Pa7i%f8oeq["iic::o:&:s:&:S:S +%%%,;,;}{9i%$G+JGfwV,[!EZR8 G,X;CCZC_CbC_CwCw +000gTgT~3=w0|_UP_>m@dBCFey74Zda EEeE E\E EfEf +WWWZTZTb<>-WoI_/I=:D&x`;)n0VKN5Guu)u5ucu5uLuL +tttl{l{DR^^tqF>@F+y`~Myzp*n!X:BOHHpHDX;TCP+Lc-oWWTWZWdWZW3x]k1CC^))3);)8);)K)K +888CKCK[^@78( Wy `N]T,Q[4~8G/9;%``4`!`Y`!`S`S +KKK+6+6LzfrKd~.[~Pa7i%f8oeq[" &c::o:&:s:&:S:S +%%%,;,;}{~9%$G+JGfwV,[!EZR8 Gxw;CCZC_CbC_CwCw +000gTgT~3ii0|_UP_>m@dBCFey74ZaU EEeE E\E EfEf +WWWZTZTb@F+y`~Myzp*n!X5 OHHpHD<;Tlyb+0VK5wGuu)uL\wucu5uLuL +tttl{Z{DJtqF>@F+y`~MBzpKg D]k1;|^))3)Kzm)8);)K)K +888CK@K[-8( Wy `N]T,S[4I:9^2nY+1dKK6KzesKuK+KzKz +:::&ouoYv:cD@aDA\.9*{^;"rST8 G_];CCZCwxkCbC_CwCw +000gTiT~]0|_UP_>m@dBfFe(oK4P+LZ-oWWTW<^&WdWZW3"=wf%b!^<(88K8^l<8s8C8^8^ +```!494RSQ`%$b\$se)gHzp6_`*rq["Sfc::o:S }:s:&:S:S +%%%,;~;}{i%$G+JGfwV,[wEZ:/XR.ho3c|00T03pn060g0303 +EEE e%e^fNE ,0r,6[6>D<;Tlyb+0VKLjGuu)uL\wucu5uLuL +tttl{Z{DR"tqF>@F+y`~MBzpKg D]k1KN^))3)Kzm)8);)K)K +888CK@K[^A8( Wy `N]T,S[4I:9^2nYzgdKK6KzesKuK+KzKz +:::&ouoYSu:cD@aDA\.9*{^;"rST8 GwX;CCZCwxkCbC_CwCw +000gTiT~3p0|_UP_>m@dBfFe(oK4P+L<^oWWTW<^&WdWZW3"=wf%b!C7(88K8^l<8s8C8^8^ +```!494R=5`%$b\$se)gH!p6_`*rq["&#c::o:S }:s:&:S:S +%%%,;~;}C9%$G+JGfwV,[!EZ:/XR.hog=|00T03pn060g0303 +EEE e%e^U%E ,0r,6[6>DX;Tlyb+0VK5NGuu)uL\wucu5uLuL +tttl{Z{DNGtqF>@F+y`~MyzpKg D]k1;z^))3)Kzm)8);)K)K +888CK@K["C8( Wy `N]T,Q[4I:9^2nYz+dKK6KzesKuK+KzKz +:::&ouoYfS:cD@aDA\.9*|^;"rST8 Gww;CCZCwxkCbC_CwCw +000gTiT~m@dBCFe(oK4P+L<&oWWTW<^&WdWZWWoI_/I=:D&x`;)O*BOa&_R^qtt{tRGNt/tltRtR +HHH3"=wf%b!^@(88K8^l<8s8C8^8^ +```!494RQ;`%$b\$se)gH!p6_`*rq["S c::o:S }:s:&:S:S +%%%,;{~;},%$G+JGfwV,[wEZA-8 G__;CCZCxgCbC_CwCw +000gT3iT~30|_UP_>m@dBfFe,074Z f EEeEa.E\E EfEf +WWWZT@F+y`~MBzpd%n!XD<;TI_P+LZcoWWTW^-WdWZWa&_lGqtt{tG"t/tltRtR +HHH3Ub]k1K;^))3)zN)8);)K)K +888CK^@K[^^8( Wy `N]T,S[4$b8G/SS%``4`;5`Y`!`S`S +KKK+6zf6LzsKd~.[~Pa7i%S8oD@q["S}c::o: #:s:&:S:S +%%%,;{~;}{|%$G+JGfwV,[wEZA-8 Gw!;CCZCxgCbC_CwCw +000gT3iT~3c0|_UP_>m@dBfFe,074ZfI EEeEa.E\E EfEf +WWWZT@F+y`~Myzpd%n!X<DX;TI_P+LZRoWWTW^-WdWZWa&_l"qtt{tG"t/tltRtR +HHH3Ub]k1;@^))3)zN)8);)K)K +888CK^@K[<-8( Wy `N]T,Q[4$b8G/!9%``4`;5`Y`!`S`S +KKK+6zf6Ls&Kd~.[~Pa7i%f8oD@q["& c::o: #:s:&:S:S +%%%,;{~;}Ca%$G+JGfwV,[!EZA-8 Gw_;CCZCxgCbC_CwCw +000gT3iT~n=0|_UP_>m@dBCFe,074Zff EEeEa.E\E EfEf +WWWZT@F+y`~Myzpd%n!XByOHHpH5fHGHm@dBfFe,1&74Zx1oqs5Z3Us?]k1`s=DTvC"(88K8^l<8s8C8^8^ +```!4tt4R!`%$b\$se)gHzp69Vc9AQ ++dKK6Kf+KuK+KzKz +:::&oOOoYS:cD@aDA\.9*{^;1/N1ARL,{$%%;%~,%x%,%{%{ +CCC_ZvvZ~kC;A-?A|P#=}3:TXz9XKuBgn|00T0ig060g0303 +EEE eIIe^CE ,0r,6[6>D<;TKYBK0\5ZXoWWTWcZWdWZW3 -2 Zl?;B^))3)C;)8);)K)K +888CK--K[R8( Wy `N]T,S[4wWxwi2*!5%``4`9!`Y`!`S`S +KKK+6116LgKd~.[~Pa7i%S8o*Vp*9!~&ic::o:u&:s:&:S:S +%%%,;ii;}~%$G+JGfwV,[!EZS4aSyf2__;CCZCX_CbC_CwCw +000gTccT~p0|_UP_>m@dBCFe23j2bP& f EEeE% E\E EfEf +WWWZTMMTb@F+y`~MyzpW#SWiYRD&;TCP+LZZoWWTWZWdWZW3x]k1;m^))3);)8);)K)K +888^KCK["8( Wy `N]T,=[4~8G/!Q%``4`!`Y`!`S`S +KKKz6+6L1Kd~.[~Pa7i%}8oeq["&Oc::o:&:s:&:S:S +%%%{;,;}:%$G+JGfwV,[kEZR8 G_];CCZC_CbC_CwCw +0003TgT~]0|_UP_>m@dBUFey74Z . EEeE E\E EfEf +WWWWoI_/I=:D&xw;)n0VK5&Guu)u5ucu5uLuL +tttR{l{DZtqF>@F+y`~Mmzp*n!X<:OHHpHD&;TCP+LW< +uuuL)5)[L uG=Bd=$Ek^mNV{3a&_RHqtt{tlt/tltRtR +HHHBp3x]k1KB^))3);)8);)K)K +888^KCK[^R8( Wy `N]T,=[4~8G/S5%``4`!`Y`S`=V!;T55`S +KKKz6+6LzgKd~.[~Pa7i%}8oeq["Sic::o:&:s:&:S:S +%%%{;,;}{~%$G+JGfwV,[kEZR8 GwX;CCZC_CbC_CwCw +0003TgT~3p0|_UP_>m@dBUFey74Zfa EEeE E\E EfEf +WWW@F+y`~Mmzp*n!XmBOHHpHD&;TCP+L&-oWWTWZWdWZW3x]k1mC^))3);)8);)K)K +888^KCK[m@dBUFey74ZCU EEeE E\E EfEf +WWW@F+y`~Mmzp*n!Xy OHHpHD&;TCP+LX^oWWTWZWdWZW3x]k1MK^))3);)8);)K)K +888^KCK[-<8( Wy `N]T,=[4~8G/t=%``4`!`Y`!`S`S +KKKz6+6L1!Kd~.[~Pa7i%}8oeq["Ofc::o:&:s:&:S:S +%%%{;,;}ii%$G+JGfwV,[kEZR8 Gvv;CCZC_CbC_CwCw +0003TgT~cw0|_UP_>m@dBUFey74ZIN EEeE E\E EfEf +WWW@F+y`~Mmzp*n!X ~OHHpHD&;TCP+LR&oWWTWZWdWZW3x]k1BM^))3);)8);)K)K +888^KCK[778( Wy `N]T,=[4~8G/UU%``4`!`Y`!`S`S +KKKz6+6L&rKd~.[~Pa7i%}8oeq["v#c::o:&:s:&:S:S +%%%{;,;}:9%$G+JGfwV,[kEZR8 G],;CCZC_CbC_CwCw +0003TgT~wi0|_UP_>m@dBUFey74ZN% EEeE E\EfEU= CdNfEf +WWW@F+y`~Mmzp*n!XfD&;TCP+L-RoWWTWZWdWZW3x]k1N@^))3);)8);)K)K +888^KCK[R@8( Wy `N]T,=[4~8G/59%``4`!`Y`!`S`S +KKKz6+6LreKd~.[~Pa7i%}8oeq["# c::o:&:s:&:S:S +%%%{;,;}9,%$G+JGfwV,[kEZR8 G,_;CCZC_CbC_CwCw +0003TgT~=30|_UP_>m@dBUFey74Zdf EEeE E\E EfEf +WWW&WoI_/I=:D&xw;)n0VK&wGuu)u5ucu5uLuL +tttR{l{D^JtqF>@F+y`~Mmzp*n!X~yOHHpHD&;TCP+L>coWWTWZWdWZW3x]k1C;^))3);)8);)K)K +888^KCK[@^8( Wy `N]T,=[4~8G/9S%``4`!`Y`!`S`S +KKKz6+6LfsKd~.[~Pa7i%}8oeq["u}c::o:&:s:&:S:S +%%%{;,;}~|%$G+JGfwV,[kEZR8 GX!;CCZC_CbC_CwCw +0003TgT~ic0|_UP_>m@dBUFey74Z%I EEeE E\E EfEf +WWW@F+y`~Mmzp*n!X:fOHHpHD&;TCP+L^3x]k1z|^))3);)8);)K)K +888^KCK[l-8( Wy `N]T,=[4~8G/;t%``4`!`Y`!`S`S +KKKz6+6Le&Kd~.[~Pa7i%}8oeq[" vc::o:&:s:&:S:S +%%%{;~;},%$G+JGfwV,[kEZ:/XR.hogg|00T03pn060g0303 +EEEfe%e^fE ,0r,6[6>D&;Tlyb+0VK5LGuu)uL\wucu5uLuL +tttR{Z{DNtqF>@F+y`~MmzpKg D]k1;m^))3)Kzm)8);)K)K +888^K@K["8( Wy `N]T,=[4I:9^2nY+!dKK6KzesKuK+KzKz +:::SouoYO:cD@aDA\.9*C^;"rST8 G_v;CCZCwxkCbC_CwCw +0003TiT~w0|_UP_>m@dBUFe(oK4P+LZRoWWTW<^&WdWZW3"=wf%b!^^(88K8^l<8s8C8^8^ +```S494RS=`%$b\$se)gHsp6_`*rq["S}c::o:S }:s:&:S:S +%%%{;~;}{|%$G+JGfwV,[kEZ:/XR.ho3<|00T03pn060g0303 +EEEfe%e^fIE ,0r,6[6>D&;Tlyb+0VKL Guu)uL\wucu5uLuL +tttR{Z{DR tqF>@F+y`~MmzpKg D]k1KB^))3)Kzm)8);)K)K +888^K@K[^R8( Wy `N]T,=[4I:9^2nYzrdKK6KzesKuK+KzKz +:::SouoYSi:cD@aDA\.9*C^;"rST8 Gw,;CCZCwxkCbC_CwCw +0003T3iT~g0|_UP_>m@dBUFe,074Z EEeEa.E\E EfEf +WWW@F+y`~Mmzpd%n!XD&;TI_P+LZ>oWWTW^-WdWZWa&_lZqtt{tG"t/tRtNsJ ZZ^tR +HHHBpB:p45HOd%+dAbd@Xm>3Ub]k1;z^))3)zN)8);)K)K +888^K^@K[^C8( Wy `N]T,=[4$b8G/S!%``4`;5`Y`!`S`S +KKKz6zf6LzzKd~.[~Pa7i%}8oD@q["SSc::o: #:s:&:S:S +%%%{;{~;}{C%$G+JGfwV,[kEZA-8 Gwk;CCZCxgCbC_CwCw +0003T3iT~3<0|_UP_>m@dBUFe,074ZfC EEeEa.E\E EfEf +WWW@F+y`~Mmzp6q#0n!X3 -2 Zl?;;^))3)C;)8);)K)K +888^K--K[^8( Wy `N]T,=[4wWxwi2*!S%``4`9!`Y`!`S`S +KKKz6116LsKd~.[~Pa7i%}8o*Vp*9!~&}c::o:u&:s:&:S:S +%%%{;ii;}|%$G+JGfwV,[kEZS4aSyf2_!;CCZCX_CbC_CwCw +0003TccT~c0|_UP_>m@dBUFe23j2bP& I EEeE% E\E EfEf +WWW@F+y`~MmzpW#SWiYRm@fBIFe(oK4P+LZ3"=wf%b!CA(88K8^l<8s8C8^8^ +```=494R9`%$b\$se)zH1p6_`*rq["&uc::o:S }:s:&:S:S +%%%C;~;}(%$G+JGfwVw[vEZ:/XR.hogp|00T03pn060g0303 +EEEUe%e^f E ,0r,6[6@F+y`BM zpKg D]k1KK^))3)Kzm)8);)K)K +888m@UBIFe(oK4P+LZ&oWWTW<^&WdWZW3"=wf%b!C@(88K8^l<8s8C8^8^ +```=494R=S`%$b\$se)sH1p6_`*rq["& c::o:S }:s:&:S:S +%%%C;~;}CC%$G+JGfwVk[vEZ:/XR.ho3g|00T03pn060g0303 +EEEUe%e^UCE ,0r,6[6&DM;Tlyb+0VKLLGuu)uL\wucu5uLuL +tttN{Z{DNHtqF>@F+y`yM zpKg D]k1;;^))3)Kzm)8);)K)K +888m@CBIFe(oK4P+LZXoWWTW<^&WdWZW3"=wf%b!Cl(88K8^l<8s8C8^8^ +```=494RQt`%$b\$se)!H1p6_`*rq["S&c::o:S }:s:&:S:S +%%%C;~;}|:%$G+JGfwV![vEZ:/XR.ho33|00T03pn060g0303 +EEEUe%e^C.E ,0r,6[6MDM;Tlyb+0VK55Guu)uL\wucu5uLuL +tttN{Z{DJ^tqF>@F+y` M zpKg D]k1;K^))3)Kzm)8);)K)K +888m@IBIFe(oK4P+LZMoWWTW<^&WdWZW3"=wf%b!^C(88K8^l<8s8C8^8^ +```=494RtT`%$b\$se)1H1p6_`*rq["SSc::o:S }:s:&:S:S +%%%C;~;}i~%$G+JGfwV][vEZ:/XR.hogg|00T03pn060g0303 +EEEUe%e^IaE ,0r,6[6RDM;Tlyb+0VK5LGuu)uL\wucu5uLuL +tttN{Z{D ltqF>@F+y`;M zpKg D]k1;m^))3)Kzm)8);)K)K +888m@NBIFe(oK4P+LZRoWWTW<^&WdWZW3"=wf%b!^^(88K8^l<8s8C8^8^ +```=494R5!`%$b\$se)rH1p6_`*rq["&&c::o:S }:s:&:S:S +%%%C;~;}a{%$G+JGfwVg[vEZ:/XR.hog3|00T03pn060g0303 +EEEUe%e^.UE ,0r,6[6-DM;Tlyb+0VK5wGuu)uL\wucu5uLuL +tttN{Z{D"JtqF>@F+y`fM zpKg D]k1;|^))3)Kzm)8);)K)K +888m@.BIFe(oK4P+LZ-oWWTW<^&WdWZW3Ub]k1;;^))3)zN)8)K)m:K|KMK)K +888m@fBIFe,074Z I EEeEa.E\E EfEf +WWW&T@F+y`BM zpd%n!Xa&_llqtt{tG"t/tRtNsNZ RtR +HHHmpB:p4ByHOd%+dAbdmXM>3Ub]k1;K^))3)zN)8);)K)K +888m@UBIFe,074Z N EEeEa.E\EfEU= % fEf +WWW&T@F+y`mM zpd%n!X<~OHHpH5fHGHBHmPyB <:HB +)))m3KC3'm;)^UbPUfh5a&_lRqtt{tG"t/tRtNslRZZ"tR +HHHmpB:p4mfHOd%+dAbd|XM>3Ub]k1;m^))3)zN)8)K)m:mKz;m)K +888m@CBIFe,074Z . EEeEa.E\EfEU=I%CUNEf +WWW&T@F+y`yM zpd%n!X<:OHHpH5fHGHBHmPy; ~mHB +)))m3KC3'||)^UbPUfh5"I-1K W%b!Cl(88K8lR8s8^8a&_lNqtt{tG"t/tRtNsHl"""tR +HHHmpB:p4y5HOd%+dAbdMXM>3Ub]k1;|^))3)zN)8)K)m:KKM|m)K +888m@IBIFe,074Z d EEeEa.E\EfEU=CNNC.Ef +WWW&T@F+y` M zpd%n!X<5OHHpH5fHGHBHmPy; ~5HB +)))m3KC3'MN)^UbPUfh5-I-1K W%b!^C(88K8lR8s8C8^8^ +```=4S94RtT`%$b\$se)1H1p6~.2nYzzdKK6KerKuK+KzKz +:::}oSuoYOu:cD@aDA\.:*i^;G+7c-,,$%%;%(a%x%{%C?{a|{{%{ +CCCkZwXZ~vxC;A-?A|P#w}c:T_U.hog3|00T0p]06030nbc33=303 +EEEUef%e^N E ,0r,6[6RDM;TI_P+LZ&oWWTW^-WdWZWa&_lJqtt{tG"t/tltRtR +HHHmpB:p4;mHOd%+dAbdBXM>3Ub]k1;M^))3)zN)8);)K)K +888m@NBIFe,074Z % EEeEa.E\EfEU=ICC%UEf +WWW&TWoI_/I=:Djx ;)=B0VK5\Guu)u\ ucu5uLuL +tttN{RZ{D ZtqF>@F+y`;M zpd%n!XBa&_lHqtt{tG"t/tltRtR +HHHmpB:p4f;HOd%+dAbdNXM>3Ub]k1;B^))3)zN)8);)K)K +888m@.BIFe,074Z a EEeEa.E\E EfEf +WWW&TZWoI_/I=:D x ;)=B0VKL5Guu)u\ ucu5uLuL +tttN{RZ{D^RtqF>@F+y`fM zpd%n!XBBOHHpH5fHGH3 -2 Zl?;;^))3)C;)8);)K)K +888m@UBIFe23j2bP& I EEeE% E\E EfEf +WWW&TMMTb<@F+y`yM zpW#SWiYR<3 -2 Zl?;K^))3)C;)8);)K)K +888m@IBIFe23j2bP& N EEeE% E\E EfEf +WWW&TMMTb&MWoI_/I=:Djx ;)bJkb2vX55Guu)uN5ucu5uLuL +tttN{HH{DN tqF>@F+y`;M zpW#SWiYR3 -2 Zl?;m^))3)C;)8);)K)K +888m@fBfFe(oK4P+LZZoWWTW<^&WdWZW3"=wf%b!CR(88K8^l<8s8C8^8^ +```Q494RT`%$b\$se)zHzp6_`*rq["&ic::o:S }:s:&:S:S +%%%|;~;}~%$G+JGfwVw[wEZ:/XR.hogi|00T03pn060g0303 +EEECe%e^aE ,0r,6[6@F+y`BMBzpKg D]k1K;^))3)Kzm)8);)K)K +888"K@K[^^8( Wy `N]S,S[4I:9^2nYzzdKK6KzesKuK+KzKz +:::fouoYS}:cD@aDA\.|*,^;"rST8 G__;CCZCwxkCbC_CwCw +000m@CB Fe(oK4P+LZ3"=wf%b!CA(88K8^l<8s8C8^8^ +```Q494R=!`%$b\$se)!H+p6_`*rq["&uc::o:S }:s:&:S:S +%%%|;~;}C{%$G+JGfwV![_EZ:/XR.hogp|00T03pn060g0303 +EEECe%e^UUE ,0r,6[6XDZ;Tlyb+0VKL5Guu)uL\wucu5uLuL +tttJ{Z{DNJtqF>@F+y`yMm@IB Fe(oK4P+LZ&oWWTW<^&WdWZW3"=wf%b!C@(88K8^l<8s8C8^8^ +```Q494RQQ`%$b\$se)1H+p6_`*rq["& c::o:S }:s:&:S:S +%%%|;~;}|i%$G+JGfwVv[_EZ:/XR.ho3g|00T03pn060g0303 +EEECe%e^CNE ,0r,6[6MDZ;Tlyb+0VKLLGuu)uL\wucu5uLuL +tttJ{Z{DJ"tqF>@F+y`;Mm@NB Fe(oK4P+LZXoWWTW<^&WdWZW3"=wf%b!Cl(88K8^l<8s8C8^8^ +```Q494Rt5`%$b\$se)&H+p6_`*rq["S&c::o:S }:s:&:S:S +%%%|;~;}i9%$G+JGfwV][_EZ:/XR.ho33|00T03pn060g0303 +EEECe%e^I%E ,0r,6[6-DZ;Tlyb+0VK55Guu)uL\wucu5uLuL +tttJ{Z{DHGtqF>@F+y`fMm@.B Fe(oK4P+LZMoWWTW<^&WdWZWWoI_/I=:D x5;)O*BOa&_lGqtt{tRGNt/tltRtR +HHHyp:p4;:HOd%+dAbdNX;>3"=wf%b!^C(88K8^l<8s8C8^8^ +```Q494RU;`%$b\$se)rH+p6_`*rq["SSc::o:S }:s:&:S:S +%%%|;{~;},%$G+JGfwVw[wEZA-8 G__;CCZCxgCbC_CwCw +000m@fBfFe,074Z f EEeEa.E\E EfEf +WWWXT@F+y`BMBzpd%n!Xa&_lGqtt{tG"t/tltRtR +HHHypB:p4B3Ub]k1K;^))3)zN)8);)K)K +888"K^@K[^^8( Wy `N]S,S[4$b8G/SS%``4`;5`Y`!`S`S +KKK!6zf6LzsKd~.[~Pa7f%&8oD@q["&&c::o: #:s:S:}.fOiiS:S +%%%|;{~;}{|%$G+JGfwV![_EZA-8 G_w;CCZCxgCbCwCkKvwwvXCw +000m@CB Fe,074Z U EEeEa.E\EfEU=f a.NEf +WWWXT@F+y`yMXW< +uuu`)LN)[wwuG=Bd=$EkJmlV{F>a&_Rlqtt{tG"t/tltRtR +HHHypB:p4myHOd%+dAbd|X;>3Ub]k1KK^))3)zN)8);)K)K +888"K^@K[<-8( Wy `N]t,![4$b8G/!!%``4`;5`Y`S`=VS5UU;`S +KKK!6zf6Ls&Kd~.[~Pa7O%&8oD@q["&Sc::o: #:s:S:}.}fuv :S +%%%|;{~;}Ca%$G+JGfwVv[_EZA-8 G_k;CCZCxgCbC_CwCw +000m@IB Fe,074Z C EEeEa.E\E EfEf +WWWXT@F+y` Ma&_RRqtt{tG"t/tltRtR +HHHypB:p4yfHOd%+dAbdBX;>3Ub]k1;;^))3)zN)8);)K)K +888"K^@K["A8( Wy `N]U,![4$b8G/!S%``4`;5`Y`!`S`S +KKK!6zf6L!fKd~.[~Pa7v%&8oD@q["&}c::o: #:s:S:}.}vv}#:S +%%%|;{~;}|(%$G+JGfwV][_EZA-8 G_!;CCZCxgCbC_CwCw +000m@NB Fe,074Z I EEeEa.E\E EfEf +WWWXT@F+y`;Ma&_llqtt{tG"t/tltRtR +HHHypB:p4 5HOd%+dAbdNX;>3Ub]k1;K^))3)zN)8);)K)K +888"K^@K[7C8( Wy `N]5,![4$b8G/!=%``4`;5`Y`S`=Vt;tU=`S +KKK!6zf6L&zKd~.[~Pa7#%&8oD@q["&fc::o: #:s:&:S:S +%%%|;{~;}:C%$G+JGfwVg[_EZA-8 G_v;CCZCxgCbC_CwCw +000m@.B Fe,074Z N EEeEa.E\E EfEf +WWWXT@F+y`fM3 -2 Zl?;m^))3)C;)8);)K)K +888"K--K["8( Wy `N]S,S[4wWxwi2*!Q%``4`9!`Y`!`S`S +KKK!6116L1Kd~.[~Pa7S%S8o*Vp*9!~&Oc::o:u&:s:&:S:S +%%%|;ii;}:%$G+JGfwVw[wEZS4aSyf2_];CCZCX_CbC_CwCw +000m@CB Fe23j2bP& EEeE% E\E EfEf +WWWXTMMTb>WoI_/I=:D`x5;)bJkb2vX5LGuu)uN5ucu5uLuL +tttJ{HH{DZtqF>@F+y`yM3 -2 Zl?;|^))3)C;)8);)K)K +888"K--K[^R8( Wy `N]t,![4wWxwi2*!t%``4`9!`Y`!`S`S +KKK!6116LzgKd~.[~Pa7O%&8o*Vp*9!~&vc::o:u&:s:&:S:S +%%%|;ii;}{~%$G+JGfwV][_EZS4aSyf2__;CCZCX_CbC_CwCw +000m@NB Fe23j2bP& f EEeE% E\E EfEf +WWWXTMMTb&ZWoI_/I=:Djx5;)bJkb2vX5wGuu)uN5ucu5uLuL +tttJ{HH{DNRtqF>@F+y`;M3 -2 Zl?;M^))3)C;)8);)K)K +888"K--K[3"=wf%b!C"(88K8^l<8s8C8^8^ +```t494Rt`%$b\$se)+Hsp6_`*rq["&Oc::o:S }:s:&:S:S +%%%i;~;}:%$G+JGfwV_[kEZ:/XR.hogw|00T03pn060g0303 +EEEIe%e^.E ,0r,6[6ZD&;Tlyb+0VK5 Guu)uL\wucu5uLuL +tttH{Z{D^tqF>@F+y`m@ BUFe(oK4P+LBBH.hogg|00T0g060g03g03 +EEEIefde^fE ,0r,6[6ZD&;T=55wP+LZ3f??W]k1;|^))3);)8);)K;)K +888-K^AK[-8( Wy `N]!,=[4s**m8G/!t%``4`!`Y`!`S!`S +KKK16zg6L&Kd~.[~Pa7&%}8oA~~Rq["&vc::o:&:s:&:S&:S +%%%i;{9;}a%$G+JGfwV_[kEZ|22t8 G_g;CCZC_CbC_Cw_Cw +000cT3=T~=0|_UP_>m@ BUFe6&&R74Z d EEeE E\E Ef Ef +WWWMT<>TbcWoI_/I=:D5xw;)$XX10VK5NGuu)u5ucu5uL5uL +tttH{R^{DGtqF>@F+y`BBH.ho3<|00T0g060g03g03 +EEEIef%e^ E ,0r,6[6ZD&;TI_P+LZZoWWTW^-WdWZWa&_lRqtt{tG"t/tltRtR +HHH pB:p4mHOd%+dAbd;Xm>3Ub]k1;m^))3)zN)8);)K)K +888-K^@K["8( Wy `N]!,=[4$b8G/!Q%``4`;5`Y`!`S`S +KKK16zf6L1Kd~.[~Pa7&%}8oD@q["&Oc::o: #:s:&:S:S +%%%i;{~;}:%$G+JGfwV_[kEZA-8 G_];CCZCxgCbC_CwCw +000cT3iT~]0|_UP_>m@ BUFe,074Z . EEeEa.E\E EfEf +WWWMTWoI_/I=:D5xw;)=B0VK5&Guu)u\ ucu5uLuL +tttH{RZ{DZtqF>@F+y`3Gq-R]k1;K^))3)zN)8)K)m:|mCz@)K +888-K--K[C8( Wy `N]!,=[4wWxwi2*!!%``4`9!`Y`!`S`S +KKK16116LzKd~.[~Pa7&%}8o*Vp*9!~&Sc::o:u&:s:&:S:S +%%%i;ii;}C%$G+JGfwV_[kEZS4aSyf2_k;CCZCX_CbC_CwCw +000cTccT~<0|_UP_>m@ BUFe23j2bP& C EEeE% E\E EfEf +WWWMTMMTbMWoI_/I=:D5xw;)bJkb2vX5 Guu)uN5ucu5uLuL +tttH{HH{D tqF>@F+y`3"=wf%b!C<(88K8^l<8s8C8^8^ +```U494RQ`%$b\$se)+H!p6_`*rq["&fc::o:S }:s:&:S:S +%%%:;~;}i%$G+JGfwV_[!EZ:/XR.hogc|00T03pn060g0303 +EEENe%e^NE ,0r,6[6ZDX;Tlyb+0VK5jGuu)uL\wucu5uLuL +ttt {Z{D"tqF>@F+y`m@ BCFe(oK4P+LZ^oWWTW<^&WdWZW3"=wf%b!^7(88K8^l<8s8C8^8^ +```U4ST4R!`%$b\$se)+H!p6P G2nY++dKK6K+KuK+Kz+Kz +:::voSioYS:cD@aDA\.,*|^;fLL47c-,{$%%;%,%x%,%{,%{ +CCC]Zw,Z~kC;A-?A|P#g}<:T>BBH.hogn|00T0g060g03g03 +EEENefde^CE ,0r,6[6ZDX;T=55wP+LZXoWWTWZWdWZW3f??W]k1;B^))3);)8);)K;)K +8887K^AK[R8( Wy `N]!,Q[4s**m8G/!5%``4`!`Y`!`S!`S +KKK&6zg6LgKd~.[~Pa7&%f8oA~~Rq["&ic::o:&:s:&:S&:S +%%%:;{9;}~%$G+JGfwV_[!EZ|22t8 G_X;CCZC_CbC_Cw_Cw +000wT3=T~p0|_UP_>m@ BCFe6&&R74Z a EEeE E\E Ef Ef +WWWRT<>Tb@F+y`a&_l qtt{tG"t/tRtNsN"NJ^tR +HHH;pB:p4fHOd%+dAbd;X|>3Ub]k1;N^))3)zN)8);)K)K +8887K^@K[A8( Wy `N]!,Q[4$b8G/!T%``4`;5`Y`!`S`S +KKK&6zf6LfKd~.[~Pa7&%f8oD@q["&uc::o: #:s:S:}.&}OvO:S +%%%:;{~;}(%$G+JGfwV_[!EZA-8 G_x;CCZCxgCbCwCkKkgw,vCw +000wT3iT~3g0|_UP_>m@ BCFe,074Zf EEeEa.E\EfEU=CNd%fEf +WWWRT@F+y`3 -2 Zl?;|^))3)C;)8);)K)K +8887K--K[-8( Wy `N]!,Q[4wWxwi2*!t%``4`9!`Y`!`S`S +KKK&6116L&Kd~.[~Pa7&%f8o*Vp*9!~&vc::o:u&:s:&:S:S +%%%:;ii;}a%$G+JGfwV_[!EZS4aSyf2_g;CCZCX_CbC_CwCw +000wTccT~=0|_UP_>m@ BCFe23j2bP& d EEeE% E\E EfEf +WWW-TcTbZWo+gP/I=:DwxL;)O*BOa&_llqtt{tRGNt/tltRtR +HHHfp:p4BHO!)n+dAbdmXK>3"=wf%b!C^(88K8^l<8s8C8^8^ +```5494R=`%G 8\$se)sHzp6_`*rq["&}c::o:S }:s:&:S:S +%%%a;~;}|%$ck7JGfwVk[wEZ:/XR.hog<|00T03pn060g0303 +EEE.e%e^IE 4O7r,6[6&D<;Tlyb+0VK5 Guu)uL\wucu5uLuL +ttt"{Z{D tq&.a@F+y`mMBzpKg D]k1;B^))3)Kzm)8);)K)K +888RK@K[R8(bZ%y `N]=,S[4I:9^2nY+rdKK6KzesKuK+KzKz +:::#oSioY&:c[BBH.hog3|00T0g060g03g03 +EEE.efde^UE 4O7r,6[6&D<;T=55wP+LZ&oWWTWZWdWZW3f??W]k1;M^))3);)8);)K;)K +888RK^AK[78(bZ%y `N]=,S[4s**m8G/!U%``4`!`Y`!`S!`S +KKKr6zg6LrKdn-2[~Pa7}%S8oA~~Rq["&#c::o:&:s:&:S&:S +%%%a;{9;}9%$ck7JGfwVk[wEZ|22t8 G_,;CCZC_CbC_Cw_Cw +000]T3=T~i0|h".P_>m@UBfFe6&&R74Z % EEeE E\E Ef Ef +WWW-T<>Tb^Wo+gP/I=:DwxL;)$XX10VK5\Guu)u5ucu5uL5uL +ttt"{R^{DRltq&.a@F+y`mMBzpARRwn!XBBBH.ho3c|00T0g060g03g03 +EEE.efde^fNE 4O7r,6[6&D<;T=55wP+L3f??W]k1K@^))3);)8);)K;)K +888RK^AK[^@8(bZ%y `N]=,S[4s**m8G/S9%``4`!`Y`!`S!`S +KKKr6zg6LzeKdn-2[~Pa7}%S8oA~~Rq["S c::o:&:s:&:S&:S +%%%a;{9;}C,%$ck7JGfwVk[wEZ|22t8 Gk_;CCZC_CbC_Cw_Cw +000]T3=T~n30|h".P_>m@UBfFe6&&R74ZUf EEeE E\E Ef Ef +WWW-T<>Tb&&Wo+gP/I=:DwxL;)$XX10VKwwGuu)u5ucu5uL5uL +ttt"{R^{DNJtq&.a@F+y`mMBzpARRwn!XmyOHHpHBBH.hon=|00T0g060g03g03 +EEE.eUfe^ E 4O7r,6[6&D<;T2s1)P+LZZoWWTWZWdWZW3jj]k1[;K^))3)zN)8);)K)K +888RK<"K[<8(bZ%y `N]=,S[4BB8G/X!=%``4`;5`Y`!`S`S +KKKr6s!6L!Kdn-2[~Pa7}%S8oXXq["_&fc::o: #:s:&:S:S +%%%a;C|;}i%$ck7JGfwVk[wEZ>>8 G(_v;CCZC_CbC_CwCw +000]Tnm@UBfFeVV74Z9 N EEeE E\E EfEf +WWW-T&XTb-Wo+gP/I=:DwxL;)pp0VK,5 Guu)u5ucu5uLuL +ttt"{N"{Dltq&.a@F+y`mMBzp.RT{Sn!X<m@UBfFe23j2b)uhb?}}} f EEeE E\E EfEf +WWW-Tc-Tb&Wo+gP/I=:DwxL;)bJkb2M?+2q{{{5wGuu)u5ucu5uLuL +ttt"{Z"{DJtq&.a@F+y`mMBzpW#SWiljQio///3x]k1;B^))3);)8);)K)K +888AKCK[R8(`v .Gy `N]S,=[4~8G/!5%``4`!`Y`!`S`S +KKKg6+6LgKdP lQ|[~Pa7S%}8oeq["&ic::o:&:s:&:S:S +%%%9;,;}~%$fL]RQJGfwVw[kEZR8 G_X;CCZC_CbC_CwCw +000=TgT~p0|>B7u8P_>m@fBUFey74Z a EEeE E\E EfEf +WWW>TZTb3x]k1KC^))3);)8);)K)K +888AKCK[^l8(`v .Gy `N]S,=[4~8G/S;%``4`!`Y`!`S`S +KKKg6+6Ls+KdP lQ|[~Pa7S%}8oeq["}&c::o:&:s:&:S:S +%%%9;,;}C{%$fL]RQJGfwVw[kEZR8 Gkw;CCZC_CbC_CwCw +000=TgT~nn0|>B7u8P_>m@fBUFey74ZUU EEeE E\E EfEf +WWW>TZTb&XWo=5G\f/I=:DLxw;)n0VKw`Guu)u5ucu5uLuL +ttt^{l{DNHtq+*L8!@F+y`BMmzp*n!Xm OHHpH3x]k1|K^))3);)8)K)m:|MCBM)K +888AKCK["<8(`v .Gy `N]S,=[4~8G/Q=%``4`!`Y`!`S`S +KKKg6+6L!!KdP lQ|[~Pa7S%}8oeq["ffc::o:&:s:&:S:S +%%%9;,;}|i%$fL]RQJGfwVw[kEZR8 G!v;CCZC_CbC_CwCw +000=TgT~B7u8P_>m@fBUFey74ZCN EEeE E\E EfEf +WWW>TZTbX-Wo=5G\f/I=:DLxw;)n0VK` Guu)u5ucu5uLuL +ttt^{l{DJ^tq+*L8!@F+y`BMmzp*n!Xy~OHHpH3x]k1MM^))3);)8);)K)K +888AKCK[-78(`v .Gy `N]S,=[4~8G/tU%``4`!`Y`!`S`S +KKKg6+6L1rKdP lQ|[~Pa7S%}8oeq["O#c::o:&:s:&:S:S +%%%9;,;}i9%$fL]RQJGfwVw[kEZR8 Gv,;CCZC_CbC_CwCw +000=TgT~ci0|>B7u8P_>m@fBUFey74ZI% EEeE E\E EfEf +WWW>TZTbM^Wo=5G\f/I=:DLxw;)n0VK \Guu)u5ucu5uLuL +ttt^{l{D ltq+*L8!@F+y`BMmzp*n!X;3x]k1B@^))3);)8);)K)K +888AKCK[7@8(`v .Gy `N]S,=[4~8G/U9%``4`!`Y`!`S`S +KKKg6+6L&eKdP lQ|[~Pa7S%}8oeq["v c::o:&:s:&:S:S +%%%9;,;}a,%$fL]RQJGfwVw[kEZR8 Gg_;CCZC_CbC_CwCw +000=TgT~]30|>B7u8P_>m@fBUFey74Z.f EEeE E\EfEU= Nd NEf +WWW>TZTb-&Wo=5G\f/I=:DLxw;)n0VK wGuu)u5ucu5uLuL +ttt^{l{D"Jtq+*L8!@F+y`BMmzp*n!XfyOHHpH3x]k1@;^))3);)8);)K)K +888AKCK[A^8(`v .Gy `N]S,=[4~8G/TS%``4`!`Y`!`S`S +KKKg6+6LgsKdP lQ|[~Pa7S%}8oeq["i}c::o:&:s:&:S:S +%%%9;,;}9|%$fL]RQJGfwVw[kEZR8 G,!;CCZC_CbC_CwCw +000=TgT~=c0|>B7u8P_>m@fBUFey74ZdI EEeE E\E EfEf +WWW>TZTb>RWo=5G\f/I=:DLxw;)n0VK&jGuu)u5ucu5uLuL +ttt^{l{D^"tq+*L8!@F+y`BMmzp*n!X~fOHHpH3x]k1C|^))3);)8);)K)K +888AKCK[@-8(`v .Gy `N]S,=[4~8G/9t%``4`!`Y`!`S`S +KKKg6+6Lf&KdP lQ|[~Pa7S%}8oeq["uvc::o:&:s:&:S:S +%%%9;,;}~a%$fL]RQJGfwVw[kEZR8 GXg;CCZC_CbC_CwCw +000=TgT~i=0|>B7u8P_>m@fBUFey74Z%d EEeE E\E EfEf +WWW>TZTbccWo=5G\f/I=:DLxw;)n0VKNNGuu)u5ucu5uLuL +ttt^{l{DZGtq+*L8!@F+y`BMmzp*n!X:5OHHpH3x]k1;;^))3);)8)K)m:|mC|C)K +888AKCK[lA8(`v .Gy `N]S,Q[4~8G/!S%``4`!`Y`S`=Vt=T9t`S +KKKg6+6LefKdP lQ|[~Pa7S%f8oeq["&}c::o:&:s:&:S:S +%%%9;,;}((%$fL]RQJGfwVw[!EZR8 G_!;CCZC_CbC_CwCw +000=TgT~3gg0|>B7u8P_>m@fBCFey74Z I EEeE E\E EfEf +WWW>TZTb3x]k1K|^))3);)8);)K)K +888AKCK[^^C8(`v .Gy `N]S,Q[4~8G/St%``4`!`Y`!`S`S +KKKg6+6LzzzKdP lQ|[~Pa7S%f8oeq["Svc::o:&:s:&:S:S +%%%9;,;}{{C%$fL]RQJGfwVw[!EZR8 Gwg;CCZC_CbC_CwCw +000=TgT~33<0|>B7u8P_>m@fBCFey74Zfd EEeE E\E EfEf +WWW>TZTb<3x]k1mN^))3);)8);)K)K +888AKCK[^<"8(`v .Gy `N]S,Q[4~8G/=T%``4`!`Y`!`S`S +KKKg6+6Lzs1KdP lQ|[~Pa7S%f8oeq["}uc::o:&:s:&:S:S +%%%9;,;}{C:%$fL]RQJGfwVw[!EZR8 Gkx;CCZC_CbC_CwCw +000=TgT~3n]0|>B7u8P_>m@fBCFey74ZC EEeE E\E EfEf +WWW>TZTb<&>Wo=5G\f/I=:DLx`;)n0VK`LGuu)u5ucu5uLuL +ttt^{l{DRNZtq+*L8!@F+y`BMyzp*n!XymOHHpHoWWTWZWdWZW3x]k1|z^))3);)8);)K)K +888AKCK[^"R8(`v .Gy `N]S,Q[4~8G/t!%``4`!`Y`!`S`S +KKKg6+6Lz!gKdP lQ|[~Pa7S%f8oeq["OSc::o:&:s:&:S:S +%%%9;,;}{|~%$fL]RQJGfwVw[!EZR8 Gvk;CCZC_CbCwCkK!,k,]Cw +000=TgT~3B7u8P_>m@fBCFey74ZIC EEeE E\E EfEf +WWW>TZTb3x]k1Bm^))3);)8);)K)K +888AKCK[^-l8(`v .Gy `N]S,Q[4~8G/UQ%``4`!`Y`!`S`S +KKKg6+6Lz&+KdP lQ|[~Pa7S%f8oeq["vOc::o:&:s:&:S:S +%%%9;,;}{:{%$fL]RQJGfwVw[!EZR8 G]];CCZC_CbCwCkKvXx,XCw +000=TgT~3wn0|>B7u8P_>m@fBCFey74ZN. EEeE E\E EfEf +WWW>TZTb3x]k1NB^))3);)8);)K)K +888AKCK[^R<8(`v .Gy `N]S,Q[4~8G/55%``4`!`Y`!`S`S +KKKg6+6Lzr!KdP lQ|[~Pa7S%f8oeq["#ic::o:&:s:&:S:S +%%%9;,;}{ai%$fL]RQJGfwVw[!EZR8 GgX;CCZC_CbC_CwCw +000=TgT~3]w0|>B7u8P_>m@fBCFey74Z.a EEeE E\E EfEf +WWW>TZTb<--Wo=5G\f/I=:DLx`;)n0VK&5Guu)u5ucu5uLuL +ttt^{l{DR"^tq+*L8!@F+y`BMyzp*n!X~BOHHpH-oWWTWZWdWZW3x]k1@C^))3);)8)K)m:|CmCm)K +888AKCK[^A78(`v .Gy `N]S,Q[4~8G/T;%``4`!`Y`!`S`S +KKKg6+6LzgrKdP lQ|[~Pa7S%f8oeq["u&c::o:&:s:&:S:S +%%%9;,;}{99%$fL]RQJGfwVw[!EZR8 GXw;CCZC_CbC_CwCw +000=TgT~3=i0|>B7u8P_>m@fBCFey74Z%U EEeE E\E EfEf +WWW>TZTb<>^Wo=5G\f/I=:DLx`;)n0VKN`Guu)u5ucu5uLuL +ttt^{l{DRZltq+*L8!@F+y`BMyzp*n!X: OHHpH3x]k1zK^))3);)8);)K)K +888AKCK[^@@8(`v .Gy `N]S,Q[4~8G/;=%``4`!`Y`!`S`S +KKKg6+6LzfeKdP lQ|[~Pa7S%f8oeq[" fc::o:&:s:&:S:S +%%%9;,;}{(,%$fL]RQJGfwVw[!EZR8 Gxv;CCZC_CbC_CwCw +000=TgT~3p30|>B7u8P_>m@fBCFey74ZaN EEeE E\E EfEf +WWW>TZTb<^&Wo=5G\f/I=:Dwxw;)n0VK55Guu)u5ucu5uLuL +ttt^{l{DRGJtq+*L8!@F+y`mMmzp*n!X3x]k1;C^))3);)8);)K)K +888AKCK[B7u8P_>m@UBUFey74ZfU EEeE E\E EfEf +WWW>TZTb&ZRWo=5G\f/I=:Dwxw;)n0VKL`Guu)u5ucu5uLuL +ttt^{l{DNl"tq+*L8!@F+y`mMmzp*n!XB OHHpH3x]k1mK^))3);)8);)K)K +888AKCK[<^-8(`v .Gy `N]=,=[4~8G/==%``4`!`Y`!`S`S +KKKg6+6Lsz&KdP lQ|[~Pa7}%}8oeq["}fc::o:&:s:&:S:S +%%%9;,;}C{a%$fL]RQJGfwVk[kEZR8 Gkv;CCZC_CbC_CwCw +000=TgT~n3=0|>B7u8P_>m@UBUFey74ZUN EEeE E\E EfEf +WWW>TZTb&ZMW< +uuu&)5)[wwjuG$Xqvgd=$EkNmNV{3a&_JJqtt{tlt/tltRtR +HHH~p3x]k1|M^))3);)8);)K)K +888AKCK[<B7u8P_>m@UBUFey74ZC% EEeE E\E EfEf +WWW>TZTb&X3x]k1M@^))3);)8);)K)K +888AKCK[<-C8(`v .Gy `N]=,=[4~8G/t9%``4`!`Y`!`S`S +KKKg6+6Ls1zKdP lQ|[~Pa7}%}8oeq["O c::o:&:s:&:S:S +%%%9;,;}CiC%$fL]RQJGfwVk[kEZR8 G]_;CCZC_CbC_CwCw +000=TgT~nc<0|>B7u8P_>m@UBUFey74ZNf EEeE E\EfEU= .C%%Ef +WWW>TZTb&MMWo=5G\f/I=:Dwxw;)n0VKjwGuu)u5ucu5uLuL +ttt^{l{DNH tq+*L8!@F+y`mMmzp*n!X;yOHHpH3x]k1N;^))3);)8);)K)K +888AKCK[<7"8(`v .Gy `N]=,=[4~8G/5S%``4`!`Y`!`S`S +KKKg6+6Ls&1KdP lQ|[~Pa7}%}8oeq["#}c::o:&:s:&:S:S +%%%9;,;}C::%$fL]RQJGfwVk[kEZR8 Gg!;CCZC_CbCwCkKw,x_kCw +000=TgT~nw]0|>B7u8P_>m@UBUFey74Z.I EEeE E\E EfEf +WWW>TZTb&R>Wo=5G\f/I=:Dwxw;)n0VK jGuu)u5ucu5uLuL +ttt^{l{DN Ztq+*L8!@F+y`mMmzp*n!XffOHHpH3x]k1@|^))3);)8);)K)K +888AKCK[B7u8P_>m@UBUFey74Zdd EEeE E\E EfEf +WWW>TZTb&>ZWo=5G\f/I=:Dwxw;)n0VK&NGuu)u5ucu5uLuL +ttt^{l{DN^Rtq+*L8!@F+y`mMmzp*n!X~5OHHpH3x]k1CN^))3);)8);)K)K +888AKCK[B7u8P_>m@UBUFey74Za EEeE E\E EfEf +WWW>TZTb&cXWo=5G\f/I=:Dwxw;)n0VK\LGuu)u5ucuLuwQwL 5`uL +ttt^{l{DNZHtq+*L8!@F+y`mMmzp*n!X5mOHHpH3x]k1;|^))3);)8);)K)K +888AKCK[B7u8P_>m@UBCFey74Z d EEeE E\E EfEf +WWW>TZTb&^-Wo=5G\f/I=:Dwx`;)n0VK5NGuu)u5ucu5uLuL +ttt^{l{DNG^tq+*L8!@F+y`mMyzp*n!X<5OHHpH3x]k1KN^))3);)8);)K)K +888AKCK["C78(`v .Gy `N]=,Q[4~8G/ST%``4`!`Y`!`S`S +KKKg6+6L!+rKdP lQ|[~Pa7}%f8oeq["Suc::o:&:s:&:S:S +%%%9;,;}|,9%$fL]RQJGfwVk[!EZR8 Gwx;CCZC_CbC_CwCw +000=TgT~B7u8P_>m@UBCFey74ZU EEeE E\EfEU=Id.IUEf +WWW>TZTbXZ^Wo=5G\f/I=:Dwx`;)n0VKwLGuu)u5ucu5uLuL +ttt^{l{DJRltq+*L8!@F+y`mMyzp*n!XmmOHHpHoWWTWZWdWZW3x]k1mz^))3);)8);)K)K +888AKCK["^@8(`v .Gy `N]=,Q[4~8G/Q!%``4`!`Y`!`S`S +KKKg6+6L!zeKdP lQ|[~Pa7}%f8oeq["fSc::o:&:s:&:S:S +%%%9;,;}|C,%$fL]RQJGfwVk[!EZR8 G!k;CCZC_CbCwCkKk]X,kCw +000=TgT~B7u8P_>m@UBCFey74ZCC EEeE E\E EfEf +WWW>TZTbX&&Wo=5G\f/I=:Dwx`;)n0VK` Guu)u5ucu5uLuL +ttt^{l{DJNJtq+*L8!@F+y`mMyzp*n!Xy;OHHpH3x]k1Mm^))3);)8);)K)K +888AKCK[""^8(`v .Gy `N]=,Q[4~8G/tQ%``4`!`Y`!`S`S +KKKg6+6L!!sKdP lQ|[~Pa7}%f8oeq["OOc::o:&:s:&:S:S +%%%9;,;}|||%$fL]RQJGfwVk[!EZR8 Gv];CCZC_CbCwCkKkk]!gCw +000=TgT~<B7u8P_>m@UBCFey74ZI. EEeE E\E EfEf +WWW>TZTbXXRWo=5G\f/I=:Dwx`;)n0VK &Guu)u5ucu5uLuL +ttt^{l{DJJ"tq+*L8!@F+y`mMyzp*n!X :OHHpH3x]k1BB^))3);)8)K)m:MKm;|)m +888AKCK["--8(`v .Gy `N]=,Q[4~8G/U5%``4`!`Y`!`S`S +KKKg6+6L!1&KdP lQ|[~Pa7}%f8oeq["vic::o:&:s:&:S:S +%%%9;,;}|ia%$fL]RQJGfwVk[!EZR8 G]X;CCZC_CbC_CwCw +000=TgT~B7u8P_>m@UBCFey74ZNa EEeE E\E EfEf +WWW>TZTbXMcWo=5G\f/I=:Dwx`;)n0VK 5Guu)u5ucu5uLuL +ttt^{l{DJHGtq+*L8!@F+y`mMyzp*n!XfBOHHpH3x]k1NC^))3);)8);)K)K +888AKCK["7A8(`v .Gy `N]=,Q[4~8G/5;%``4`!`Y`S`=VtUUtt`S +KKKg6+6L!&fKdP lQ|[~Pa7}%f8oeq["i&c::o:&:s:&:S:S +%%%9;,;}|:(%$fL]RQJGfwVk[!EZR8 G,w;CCZC_CbC_CwCw +000=TgT~<]g0|>B7u8P_>m@UBCFey74ZdU EEeE E\E EfEf +WWW>TZTbX-^oWWTWZWdWZW3x]k1CK^))3);)8);)K)K +888AKCK["AC8(`v .Gy `N]=,Q[4~8G/9=%``4`!`Y`!`S`S +KKKg6+6L!gzKdP lQ|[~Pa7}%f8oeq["ufc::o:&:s:&:S:S +%%%9;,;}|9C%$fL]RQJGfwVk[!EZR8 GXv;CCZC_CbC_CwCw +000=TgT~<=<0|>B7u8P_>m@UBCFey74Z%N EEeE E\EfEU= dd CEf +WWW>TZTbX>MWo=5G\f/I=:Dwx`;)n0VKN Guu)u5ucu5uLuL +ttt^{l{DJ^ tq+*L8!@F+y`mMyzp*n!X:~OHHpH3x]k1zM^))3);)8);)K)K +888AKCK["@"8(`v .Gy `N]=,Q[4~8G/;U%``4`!`Y`!`S`S +KKKg6+6L!f1KdP lQ|[~Pa7f%S8oeq["&&c::o:&:s:&:S:S +%%%9;,;}|~:%$fL]RQJGfwV![wEZR8 G_w;CCZC_CbC_CwCw +000=TgT~B7u8P_>m@CBfFey74Z U EEeE E\E EfEf +WWW>TZTbXc>Wo=5G\f/I=:D`xL;)n0VK5`Guu)u5ucu5uLuL +ttt^{l{DJZZtq+*L8!@F+y`yMBzp*n!X< OHHpH3x]k1KK^))3);)8)K)m:mzzC@)K +888AKCK["lR8(`v .Gy `N]Q,S[4~8G/S=%``4`!`Y`!`S`S +KKKg6+6L!egKdP lQ|[~Pa7f%S8oeq["Sfc::o:&:s:&:S:S +%%%9;,;}|(~%$fL]RQJGfwV![wEZR8 Gwv;CCZC_CbC_CwCw +000=TgT~B7u8P_>m@CBfFey74ZfN EEeE E\E EfEf +WWW>TZTbMZZWo=5G\f/I=:D`xL;)n0VKL Guu)u5ucu5uLuL +ttt^{l{DHlRtq+*L8!@F+y`yMBzp*n!XB~OHHpH3x]k1mM^))3);)8);)K)K +888AKCK[-Cl8(`v .Gy `N]Q,S[4~8G/=U%``4`!`Y`!`S`S +KKKg6+6L1z+KdP lQ|[~Pa7f%S8oeq["}#c::o:&:s:&:S:S +%%%9;,;}i{{%$fL]RQJGfwV![wEZR8 Gk,;CCZC_CbCwCkKvwXXvCw +000=TgT~c3n0|>B7u8P_>m@CBfFey74ZU% EEeE E\E EfEf +WWW>TZTbM3x]k1|@^))3);)8);)K)K +888AKCK[-<<8(`v .Gy `N]Q,S[4~8G/Q9%``4`!`Y`!`S`S +KKKg6+6L1s!KdP lQ|[~Pa7f%S8oeq["f c::o:&:s:&:S:S +%%%9;,;}iCi%$fL]RQJGfwV![wEZR8 Gv_;CCZC_CbC_CwCw +000=TgT~cnw0|>B7u8P_>m@CBfFey74ZIf EEeE E\E EfEf +WWW>TZTbM&-Wo=5G\f/I=:D`xL;)n0VK wGuu)u5ucu5uLuL +ttt^{l{DHN^tq+*L8!@F+y`yMBzp*n!X yOHHpH3x]k1B;^))3);)8);)K)K +888AKCK[-"78(`v .Gy `N]Q,S[4~8G/US%``4`!`Y`!`S`S +KKKg6+6L1!rKdP lQ|[~Pa7f%S8oeq["v}c::o:&:s:&:S:S +%%%9;,;}i|9%$fL]RQJGfwV![wEZR8 G]!;CCZC_CbCwCkK_kwkvCw +000=TgT~cB7u8P_>m@CBfFey74ZNI EEeE E\E EfEf +WWW>TZTbMX^Wo=5G\f/I=:D`xL;)n0VKjjGuu)u5ucu5uLuL +ttt^{l{DHHltq+*L8!@F+y`yMBzp*n!X;fOHHpH3x]k1N|^))3);)8);)K)K +888AKCK[--@8(`v .Gy `N]Q,S[4~8G/5t%``4`!`Y`!`S`S +KKKg6+6L11eKdP lQ|[~Pa7f%S8oeq["#vc::o:&:s:S:}.fu}&v:S +%%%9;,;}i:,%$fL]RQJGfwV![wEZR8 Ggg;CCZC_CbC_CwCw +000=TgT~cw30|>B7u8P_>m@CBfFey74Z.d EEeE E\E EfEf +WWW>TZTbMR&Wo=5G\f/I=:D`xL;)n0VK NGuu)u5ucu5uLuL +ttt^{l{DH Jtq+*L8!@F+y`yMBzp*n!Xf5OHHpHMoWWTWZWdWZW3x]k1@N^))3);)8)K)m:mm|CC)K +888AKCK[-R^8(`v .Gy `N]Q,S[4~8G/TT%``4`!`Y`!`S`S +KKKg6+6L1rsKdP lQ|[~Pa7f%S8oeq["iuc::o:&:s:&:S:S +%%%9;,;}ia|%$fL]RQJGfwV![wEZR8 G,x;CCZC_CbC_CwCw +000=TgT~c]c0|>B7u8P_>m@CBfFey74Z% EEeE E\EfEU=Uf%%aEf +WWW>TZTbM-RWo=5G\f/I=:D`xL;)n0VKNLGuu)u5ucu5uLuL +ttt^{l{DH""tq+*L8!@F+y`yMBzp*n!X:mOHHpHoWWTWZWdWZW3x]k1Cz^))3);)8);)K)K +888AKCK[-A-8(`v .Gy `N]Q,S[4~8G/;!%``4`!`Y`!`S`S +KKKg6+6L1g&KdP lQ|[~Pa7f%S8oeq[" Sc::o:&:s:&:S:S +%%%9;,;}i9a%$fL]RQJGfwV![wEZR8 Gxk;CCZC_CbC_CwCw +000=TgT~c==0|>B7u8P_>m@CBfFey74ZaC EEeE E\E EfEf +WWW>TZTbM>cWo=5G\f/I=:D`xL;)n0VK\ Guu)u5ucu5uLuL +ttt^{l{DH^Gtq+*L8!@F+y`yMBzp*n!X5;OHHpH3x]k1;N^))3);)8);)K)K +888AKCK[-@A8(`v .Gy `N]Q,=[4~8G/!T%``4`!`Y`!`S`S +KKKg6+6L1ffKdP lQ|[~Pa7f%}8oeq["&uc::o:&:s:&:S:S +%%%9;,;}i~(%$fL]RQJGfwV![kEZR8 G_x;CCZC_CbC_CwCw +000=TgT~cpg0|>B7u8P_>m@CBUFey74Zf EEeE E\E EfEf +WWW>TZTbM^oWWTWZWdWZW3x]k1Kz^))3);)8);)K)K +888AKCK[7CC8(`v .Gy `N]Q,=[4~8G/=!%``4`!`Y`!`S`S +KKKg6+6L&+zKdP lQ|[~Pa7f%}8oeq["}Sc::o:&:s:&:S:S +%%%9;,;}:,C%$fL]RQJGfwV![kEZR8 Gkk;CCZC_CbC_CwCw +000=TgT~wg<0|>B7u8P_>m@CBUFey74ZUC EEeE E\E EfEf +WWW>TZTbRZMWo=5G\f/I=:D`xw;)n0VKw Guu)u5ucu5uLuL +ttt^{l{D l tq+*L8!@F+y`yMmzp*n!Xm;OHHpH3x]k1|m^))3);)8);)K)K +888AKCK[7^"8(`v .Gy `N]Q,=[4~8G/QQ%``4`!`Y`!`S`S +KKKg6+6L&z1KdP lQ|[~Pa7f%}8oeq["fOc::o:&:s:&:S:S +%%%9;,;}:{:%$fL]RQJGfwV![kEZR8 G!];CCZC_CbC_CwCw +000=TgT~w3]0|>B7u8P_>m@CBUFey74ZC. EEeE E\E EfEf +WWW>TZTbR<>Wo=5G\f/I=:D`xw;)n0VK`&Guu)u5ucu5uLuL +ttt^{l{D RZtq+*L8!@F+y`yMmzp*n!Xy:OHHpH3x]k1MB^))3);)8);)K)K +888AKCK[7B7u8P_>m@CBUFey74ZIa EEeE E\E EfEf +WWW>TZTbRXZWo=5G\f/I=:D`xw;)n0VKj5Guu)u5ucuLuwQLNN``uL +ttt^{l{D JRtq+*L8!@F+y`yMmzp*n!X;BOHHpH3x]k1BC^))3);)8)K)m:m@NMC)K +888AKCK[7"l8(`v .Gy `N]Q,=[4~8G/U;%``4`!`Y`!`S`S +KKKg6+6L&1+KdP lQ|[~Pa7f%}8oeq["#&c::o:&:s:&:S:S +%%%9;,;}:i{%$fL]RQJGfwV![kEZR8 Ggw;CCZC_CbC_CwCw +000=TgT~wcn0|>B7u8P_>m@CBUFey74Z.U EEeE E\E EfEf +WWW>TZTbRMXWo=5G\f/I=:D`xw;)n0VK `Guu)u5ucu5uLuL +ttt^{l{D HHtq+*L8!@F+y`yMmzp*n!Xf OHHpH3x]k1@K^))3);)8);)K)K +888AKCK[77<8(`v .Gy `N]Q,=[4~8G/T=%``4`!`Y`!`S`S +KKKg6+6L&&!KdP lQ|[~Pa7f%}8oeq["ifc::o:&:s:&:S:S +%%%9;,;}::i%$fL]RQJGfwV![kEZR8 G,v;CCZC_CbC_CwCw +000=TgT~www0|>B7u8P_>m@CBUFey74ZdN EEeE E\E EfEf +WWW>TZTbRR-Wo=5G\f/I=:D`xw;)n0VK& Guu)u5ucu5uLuL +ttt^{l{D ^tq+*L8!@F+y`yMmzp*n!X~~OHHpH3x]k1CM^))3);)8);)K)K +888AKCK[7R78(`v .Gy `N]Q,=[4~8G/9U%``4`!`Y`!`S`S +KKKg6+6L&rrKdP lQ|[~Pa7f%}8oeq["u#c::o:&:s:&:S:S +%%%9;,;}:a9%$fL]RQJGfwV![kEZR8 GX,;CCZC_CbC_CwCw +000=TgT~w]i0|>B7u8P_>m@CBUFey74Z%% EEeE E\E EfEf +WWW>TZTbR-^Wo=5G\f/I=:D`xw;)n0VKN\Guu)u5ucu5uLuL +ttt^{l{D ^ltq+*L8!@F+y`yMmzp*n!X53x]k1;K^))3);)8)K)m:;BBKB)K +888AKCK[7A@8(`v .Gy `N]Q,Q[4~8G/!=%``4`!`Y`!`S`S +KKKg6+6L&geKdP lQ|[~Pa7f%f8oeq["&fc::o:&:s:&:S:S +%%%9;,;}:~,%$fL]RQJGfwV![!EZR8 G_v;CCZC_CbC_CwCw +000=TgT~wi30|>B7u8P_>m@CBCFey74Z N EEeE E\EfEU=faaCaEf +WWW>TZTbRc&Wo=5G\f/I=:D`x`;)n0VK5 Guu)u5ucu5uLuL +ttt^{l{D ZJtq+*L8!@F+y`yMyzp*n!X<~OHHpH3x]k1KM^))3);)8);)K)K +888AKCK[7l^8(`v .Gy `N]Q,Q[4~8G/SU%``4`!`Y`!`S`S +KKKg6+6L&esKdP lQ|[~Pa7f%f8oeq["S#c::o:&:s:S:}.&uvO}:S +%%%9;,;}:(|%$fL]RQJGfwV![!EZR8 Gw,;CCZC_CbCwCkK!g!_!Cw +000=TgT~wpc0|>B7u8P_>m@CBCFey74Zf% EEeE E\E EfEf +WWW>TZTbR^RWo=5G\f/I=:D`x`;)n0VKL\Guu)u5ucuLuwQLjwLuL +ttt^{l{D G"tq+*L8!@F+y`yMyzp*n!Xm3x]k1m@^))3);)8);)K)K +888AKCK[RC-8(`v .Gy `N]Q,Q[4~8G/=9%``4`!`Y`!`S`S +KKKg6+6Lr+&KdP lQ|[~Pa7f%f8oeq["} c::o:&:s:S:}.Sv i:S +%%%9;,;}a,a%$fL]RQJGfwV![!EZR8 G!_;CCZC_CbC_CwCw +000=TgT~]g=0|>B7u8P_>m@CBCFey74ZCf EEeE E\EfEU=CNdNUEf +WWW>TZTb-ZcWo=5G\f/I=:D`x`;)n0VK`wGuu)u5ucu5uLuL +ttt^{l{D"lGtq+*L8!@F+y`yMyzp*n!XyyOHHpH3x]k1M;^))3);)8);)K)K +888AKCK[R^A8(`v .Gy `N]Q,Q[4~8G/tS%``4`!`Y`!`S`S +KKKg6+6LrzfKdP lQ|[~Pa7f%f8oeq["O}c::o:&:s:&:S:S +%%%9;,;}a{(%$fL]RQJGfwV![!EZR8 Gv!;CCZC_CbCwCkK_wXxXCw +000=TgT~]ng0|>B7u8P_>m@CBCFey74ZII EEeE E\E EfEf +WWW>TZTb-&3x]k1B|^))3);)8);)K)K +888AKCK[R"C8(`v .Gy `N]Q,Q[4~8G/Ut%``4`!`Y`!`S`S +KKKg6+6Lr!zKdP lQ|[~Pa7f%f8oeq["vvc::o:&:s:S:}.fSOSi:} +%%%9;,;}a|C%$fL]RQJGfwV![!EZR8 G]g;CCZC_CbCwCkKkkXkXCw +000=TgT~]<<0|>B7u8P_>m@CBCFey74ZNd EEeE E\E EfEf +WWW>TZTb-XMWo=5G\f/I=:D`x`;)n0VKjNGuu)u5ucu5uLuL +ttt^{l{D"J tq+*L8!@F+y`yMyzp*n!X;5OHHpH3x]k1NN^))3);)8);)K)K +888AKCK[R-"8(`v .Gy `N]Q,Q[4~8G/5T%``4`!`Y`!`S`S +KKKg6+6Lr11KdP lQ|[~Pa7f%f8oeq["#uc::o:&:s:S:}.&vfOS:S +%%%9;,;}ai:%$fL]RQJGfwV![!EZR8 Ggx;CCZC_CbC_CwCw +000=TgT~]c]0|>B7u8P_>m@CBCFey74Zd EEeE E\E EfEf +WWW>TZTb-M>Wo=5G\f/I=:D`x`;)n0VK&LGuu)u5ucu5uLuL +ttt^{l{D"HZtq+*L8!@F+y`yMyzp*n!X~mOHHpH>oWWTWZWdWZW3x]k1@z^))3);)8)K)m:|;;z)K +888AKCK[R7R8(`v .Gy `N]Q,Q[4~8G/9!%``4`!`Y`!`S`S +KKKg6+6Lr&gKdP lQ|[~Pa7f%f8oeq["uSc::o:&:s:&:S:S +%%%9;,;}a:~%$fL]RQJGfwV![!EZR8 GXk;CCZC_CbC_CwCw +000=TgT~]wp0|>B7u8P_>m@CBCFey74Z%C EEeE E\E EfEf +WWW>TZTb--ZWo=5G\f/I=:D`x`;)n0VKN Guu)u5ucu5uLuL +ttt^{l{D""Rtq+*L8!@F+y`yMyzp*n!X:;OHHpH3x]k1zm^))3);)8)K)m:KC;@C)K +888AKCK[RRl8(`v .Gy `N]Q,Q[4~8G/;Q%``4`!`Y`!`S`S +KKKg6+6Lrg+KdP lQ|[~Pa7f%f8oeq[" Oc::o:&:s:&:S:S +%%%9;,;}a9{%$fL]RQJGfwV![!EZR8 Gx];CCZC_CbC_CwCw +000=TgT~]=n0|>B7u8P_>m@IBfFey74Z EEeE E\E EfEf +WWW>TZTb->XWo=5G\f/I=:D xL;)n0VK5LGuu)u5ucu5uLuL +ttt^{l{D"^Htq+*L8!@F+y` MBzp*n!XoWWTWZWdWZW3x]k1;z^))3);)8);)K)K +888AKCK[R@<8(`v .Gy `N]t,S[4~8G/S!%``4`!`Y`S`=VS=U5S`S +KKKg6+6Lrf!KdP lQ|[~Pa7O%S8oeq["SSc::o:&:s:&:S:S +%%%9;,;}a~i%$fL]RQJGfwVv[wEZR8 Gwk;CCZC_CbC_CwCw +000=TgT~]iw0|>B7u8P_>m@IBfFey74ZfC EEeE E\E EfEf +WWW>TZTb-c-Wo=5G\f/I=:D xL;)n0VKL Guu)u5ucu5uLuL +ttt^{l{D"Z^tq+*L8!@F+y` MBzp*n!XB;OHHpH3x]k1mm^))3);)8);)K)K +888AKCK[Rl78(`v .Gy `N]t,S[4~8G/=Q%``4`!`Y`!`S`S +KKKg6+6LrerKdP lQ|[~Pa7O%S8oeq["}Oc::o:&:s:&:S:S +%%%9;,;}a(9%$fL]RQJGfwVv[wEZR8 Gk];CCZC_CbC_CwCw +000=TgT~]pi0|>B7u8P_>m@IBfFey74ZU. EEeE E\E EfEf +WWW>TZTb-^^Wo=5G\f/I=:D xL;)n0VKw&Guu)u5ucu5uLuL +ttt^{l{D^lltq+*L8!@F+y` MBzp*n!Xm:OHHpH3x]k1|B^))3);)8)K)m:;;C@M)K +888AKCK[AC@8(`v .Gy `N]t,S[4~8G/Q5%``4`!`Y`!`S`S +KKKg6+6Lg+eKdP lQ|[~Pa7O%S8oeq["fic::o:&:s:&:S:S +%%%9;,;}9{,%$fL]RQJGfwVv[wEZR8 G!X;CCZC_CbC_CwCw +000=TgT~=330|>B7u8P_>m@IBfFey74ZCa EEeE E\E EfEf +WWW>TZTb><&Wo=5G\f/I=:D xL;)n0VK 5Guu)u5ucu5uLuL +ttt^{l{D^RJtq+*L8!@F+y` MBzp*n!X BOHHpH3x]k1MC^))3);)8);)K)K +888AKCK[A<^8(`v .Gy `N]t,S[4~8G/t;%``4`!`Y`!`S`S +KKKg6+6LgssKdP lQ|[~Pa7O%S8oeq["v&c::o:&:s:S:}.SvviO:S +%%%9;,;}9C|%$fL]RQJGfwVv[wEZR8 G]w;CCZC_CbC_CwCw +000=TgT~=nc0|>B7u8P_>m@IBfFey74ZNU EEeE E\EfEU=IU CUEf +WWW>TZTb>&RWo=5G\f/I=:D xL;)n0VKj`Guu)u5ucu5uLuL +ttt^{l{D^N"tq+*L8!@F+y` MBzp*n!X; OHHpH3x]k1NK^))3);)8);)K)K +888AKCK[A"-8(`v .Gy `N]t,S[4~8G/5=%``4`!`Y`!`S`S +KKKg6+6Lg!&KdP lQ|[~Pa7O%S8oeq["#fc::o:&:s:S:}.f}&if:S +%%%9;,;}9|a%$fL]RQJGfwVv[wEZR8 Ggv;CCZC_CbC_CwCw +000=TgT~=<=0|>B7u8P_>m@IBfFey74Z.N EEeE E\E EfEf +WWW>TZTb>XcWo=5G\f/I=:D xL;)n0VK Guu)u5ucu5uLuL +ttt^{l{D^JGtq+*L8!@F+y` MBzp*n!Xf~OHHpH&oWWTWZWdWZW3x]k1@M^))3);)8);)K)K +888AKCK[A-A8(`v .Gy `N]t,S[4~8G/TU%``4`!`Y`!`S`S +KKKg6+6Lg1fKdP lQ|[~Pa7O%S8oeq["i#c::o:&:s:&:S:S +%%%9;,;}9i(%$fL]RQJGfwVv[wEZR8 G,,;CCZC_CbC_CwCw +000=TgT~=wg0|>B7u8P_>m@IBfFey74Zd% EEeE E\E EfEf +WWW>TZTb>R3x]k1C@^))3);)8);)K)K +888AKCK[ARC8(`v .Gy `N]t,S[4~8G/99%``4`!`Y`!`S`S +KKKg6+6LgrzKdP lQ|[~Pa7O%S8oeq["u c::o:&:s:&:S:S +%%%9;,;}9aC%$fL]RQJGfwVv[wEZR8 Gx_;CCZC_CbC_CwCw +000=TgT~=]<0|>B7u8P_>m@IBfFey74Zaf EEeE E\E EfEf +WWW>TZTb>-MWo=5G\f/I=:D xL;)n0VK\wGuu)u5ucu5uLuL +ttt^{l{D^" tq+*L8!@F+y` MBzp*n!X5yOHHpHMW< +uuu&)5)[&&LuG$Xqvgd=$EkHmNV{3a&_lJqtt{tlt/tRtNslNNHtR +HHH~p3x]k1;M^))3);)8);)K)m +888AKCK[AA"8(`v .Gy `N]t,=[4~8G/!U%``4`!`Y`S`=V!!;Ut`S +KKKg6+6Lgg1KdP lQ|[~Pa7O%}8oeq["&#c::o:&:s:&:S:S +%%%9;,;}99:%$fL]RQJGfwVv[kEZR8 G_,;CCZC_CbCwCkK!vv,kCw +000=TgT~==]0|>B7u8P_>m@IBUFey74Z % EEeE E\E EfEf +WWW>TZTb>>>Wo=5G\f/I=:D xw;)n0VK5\Guu)u5ucu5uLuL +ttt^{l{D^^Ztq+*L8!@F+y` Mmzp*n!XB3x]k1K@^))3);)8);)K)K +888AKCK[A@R8(`v .Gy `N]t,=[4~8G/S9%``4`!`Y`!`S`S +KKKg6+6LgfgKdP lQ|[~Pa7O%}8oeq["S c::o:&:s:&:S:S +%%%9;,;}9~~%$fL]RQJGfwVv[kEZR8 Gk_;CCZC_CbC_CwCw +000=TgT~=ip0|>B7u8P_>m@IBUFey74ZUf EEeE E\E EfEf +WWW>TZTb>^ZWo=5G\f/I=:D xw;)n0VKwwGuu)u5ucu5uLuL +ttt^{l{D^GRtq+*L8!@F+y` Mmzp*n!XmyOHHpH3x]k1|;^))3);)8);)K)K +888AKCK[All8(`v .Gy `N]t,=[4~8G/QS%``4`!`Y`!`S`S +KKKg6+6Lf++KdP lQ|[~Pa7O%}8oeq["f}c::o:&:s:S:}.Suf# :S +%%%9;,;}~,{%$fL]RQJGfwVv[kEZR8 G!!;CCZC_CbCwCkKk_],,Cw +000=TgT~ign0|>B7u8P_>m@IBUFey74ZCI EEeE E\E EfEf +WWW>TZTbcZXWo=5G\f/I=:D xw;)n0VK`jGuu)u5ucuLuwQ`N`\NuL +ttt^{l{DZlHtq+*L8!@F+y` Mmzp*n!XyfOHHpH3x]k1M|^))3);)8);)K)K +888AKCK[@^<8(`v .Gy `N]t,=[4~8G/tt%``4`!`Y`S`=VSt`S +KKKg6+6Lfz!KdP lQ|[~Pa7O%}8oeq["Ovc::o:&:s:&:S:S +%%%9;,;}~{i%$fL]RQJGfwVv[kEZR8 Gvg;CCZC_CbC_CwCk +000=TgT~i3w0|>B7u8P_>m@IBUFey74ZId EEeE E\EfEU=f%N.CEf +WWW>TZTbc<-Wo=5G\f/I=:D xw;)n0VK NGuu)u5ucuLuwQ`5N uL +ttt^{l{DZR^tq+*L8!@F+y` Mmzp*n!X 5OHHpH3x]k1BN^))3);)8)K)m:m;BN|)K +888AKCK[@<78(`v .Gy `N]t,=[4~8G/UT%``4`!`Y`S`=V=S;QT`S +KKKg6+6LfsrKdP lQ|[~Pa7O%}8oeq["vuc::o:&:s:&:S:S +%%%9;,;}~C9%$fL]RQJGfwVv[kEZR8 G]x;CCZC_CbCwCkK!,v,XCw +000=TgT~ini0|>B7u8P_>m@IBUFey74Z. EEeE E\E EfEf +WWW>TZTbc&^Wo=5G\f/I=:D xw;)n0VK LGuu)u5ucu5uLuL +ttt^{l{DZJltq+*L8!@F+y` Mmzp*n!XfmOHHpHoWWTWZWdWZW3x]k1Nz^))3);)8);)K)K +888AKCK[@"@8(`v .Gy `N]t,=[4~8G/T!%``4`!`Y`S`=VS;Q;S`S +KKKg6+6Lf!eKdP lQ|[~Pa7O%}8oeq["iSc::o:&:s:&:S:S +%%%9;,;}~i,%$fL]RQJGfwVv[kEZR8 G,k;CCZC_CbC_CwCw +000=TgT~ic30|>B7u8P_>m@IBUFey74ZdC EEeE E\E EfEf +WWW>TZTbcM&Wo=5G\f/I=:D xw;)n0VK& Guu)u5ucu5uLuL +ttt^{l{DZHJtq+*L8!@F+y` Mmzp*n!X~;OHHpH3x]k1Cm^))3);)8);)K)K +888AKCK[@7^8(`v .Gy `N]t,=[4~8G/9Q%``4`!`Y`!`S`S +KKKg6+6Lf&sKdP lQ|[~Pa7O%}8oeq["uOc::o:&:s:&:S:S +%%%9;,;}~:|%$fL]RQJGfwVv[kEZR8 GX];CCZC_CbC_CwCw +000=TgT~iwc0|>B7u8P_>m@IBUFey74Z%. EEeE E\EfEU=CUCCfEf +WWW>TZTbcRRWo=5G\f/I=:D xw;)n0VKN&Guu)u5ucu5uLuL +ttt^{l{DZ "tq+*L8!@F+y` Mmzp*n!X::OHHpH3x]k1zB^))3);)8);)K)K +888AKCK[@R-8(`v .Gy `N]t,Q[4~8G/!!%``4`!`Y`S`=VS95QU`S +KKKg6+6Lfr&KdP lQ|[~Pa7O%f8oeq["&Sc::o:&:s:&:S:S +%%%9;,;}~aa%$fL]RQJGfwVv[!EZR8 G_k;CCZC_CbC_CwCw +000=TgT~i]=0|>B7u8P_>m@IBCFey74Z C EEeE E\E EfEf +WWW>TZTbc-cWo=5G\f/I=:D x`;)n0VK5 Guu)u5ucu5uLuL +ttt^{l{DZ"Gtq+*L8!@F+y` Myzp*n!X<;OHHpH3x]k1Km^))3);)8);)K)K +888AKCK[@AA8(`v .Gy `N]t,Q[4~8G/SQ%``4`!`Y`S`=Vt599t`S +KKKg6+6LfgfKdP lQ|[~Pa7O%f8oeq["SOc::o:&:s:&:S:S +%%%9;,;}~9(%$fL]RQJGfwVv[!EZR8 Gw];CCZC_CbC_CwCw +000=TgT~iig0|>B7u8P_>m@IBCFey74Zf. EEeE E\E EfEf +WWW>TZTbcc3x]k1mB^))3);)8)K)m:KM@C|)K +888AKCK[@lC8(`v .Gy `N]t,Q[4~8G/=5%``4`!`Y`S`=V=t;UQ`S +KKKg6+6LfezKdP lQ|[~Pa7O%f8oeq["}ic::o:&:s:&:S:S +%%%9;,;}~(C%$fL]RQJGfwVv[!EZR8 GkX;CCZC_CbC_CwCw +000=TgT~ip<0|>B7u8P_>m@IBCFey74ZUa EEeE E\EfEU=fCI dEf +WWW>TZTbc^MWo=5G\f/I=:D x`;)n0VK`5Guu)u5ucu5uLuL +ttt^{l{DZG tq+*L8!@F+y` Myzp*n!XyBOHHpH3x]k1|C^))3);)8);)K)K +888AKCK[lC"8(`v .Gy `N]t,Q[4~8G/Q;%``4`!`Y`S`=V=TU9S`S +KKKg6+6Le+1KdP lQ|[~Pa7O%f8oeq["O&c::o:&:s:S:}.}Of} :S +%%%9;,;}(,:%$fL]RQJGfwVv[!EZR8 Gvw;CCZC_CbC_CwCw +000=TgT~pg]0|>B7u8P_>m@IBCFey74ZIU EEeE E\EfEU= .NddEf +WWW>TZTb^Z>Wo=5G\f/I=:D x`;)n0VK `Guu)u5ucuLuwQL&w5 uL +ttt^{l{DGlZtq+*L8!@F+y` Myzp*n!X OHHpH3x]k1BK^))3);)8);)K)K +888AKCK[l^R8(`v .Gy `N]t,Q[4~8G/U=%``4`!`Y`!`S`S +KKKg6+6LezgKdP lQ|[~Pa7O%f8oeq["vfc::o:&:s:&:S:S +%%%9;,;}({~%$fL]RQJGfwVv[!EZR8 G]v;CCZC_CbC_CwCw +000=TgT~p3p0|>B7u8P_>m@IBCFey74ZNN EEeE E\EfEU=CCfffEf +WWW>TZTb^&ZWo=5G\f/I=:D x`;)n0VKj Guu)u5ucuLuwQ5j &uw +ttt^{l{DGNRtq+*L8!@F+y` Myzp*n!X;~OHHpH3x]k1NM^))3);)8);)K)K +888AKCK[lB7u8P_>m@IBCFey74Z.% EEeE E\EfEU=f..UNEf +WWW>TZTb^XXWo=5G\f/I=:D x`;)n0VK \Guu)u5ucuLuwQ`jN`juL +ttt^{l{DGJHtq+*L8!@F+y` Myzp*n!X~RoWWTWZWdWZW3x]k1@@^))3);)8);)K)K +888AKCK[l-<8(`v .Gy `N]t,Q[4~8G/T9%``4`!`Y`!`S`S +KKKg6+6Le1!KdP lQ|[~Pa7O%f8oeq["i c::o:&:s:&:S:S +%%%9;,;}(ii%$fL]RQJGfwVv[!EZR8 GX_;CCZC_CbC_CwCw +000=TgT~pcw0|>B7u8P_>m@IBCFey74Z%f EEeE E\EfEU= .NU%Ef +WWW>TZTb^M-Wo=5G\f/I=:D x`;)n0VKNwGuu)u5ucu5uLuL +ttt^{l{DGH^tq+*L8!@F+y` Myzp*n!X:yOHHpH3x]k1z;^))3);)8);)K)K +888AKCK[l778(`v .Gy `N]t,Q[4~8G/;S%``4`!`Y`!`S`S +KKKg6+6Le&rKdP lQ|[~Pa7O%f8oeq[" }c::o:&:s:&:S:S +%%%9;,;}(:9%$fL]RQJGfwVv[!EZR8 Gx!;CCZC_CbC_CwCw +000=TgT~pwi0|>B7u8P_>m@IBCFey74ZaI EEeE E\E EfEf +WWW>TZTb^R^Wo=5G\f/I=:D x`;)n0VK\jGuu)u5ucu5uLuL +ttt^{l{DG"ltq+*L8!@F+y`;MBzp*n!X<3x]k1;@^))3);)8);)K)K +888AKCK[lR@8(`v .Gy `N]U,S[4~8G/!9%``4`!`Y`!`S`S +KKKg6+6LereKdP lQ|[~Pa7v%S8oeq["& c::o:&:s:&:S:S +%%%9;,;}(9,%$fL]RQJGfwV][wEZR8 Gw_;CCZC_CbC_CwCw +000=TgT~p=30|>B7u8P_>m@NBfFey74Zff EEeE E\E EfEf +WWW>TZTb^>&Wo=5G\f/I=:DjxL;)n0VKLwGuu)u5ucu5uLuL +ttt^{l{DG^Jtq+*L8!@F+y`;MBzp*n!XByOHHpH3x]k1m;^))3);)8);)K)K +888AKCK[l@^8(`v .Gy `N]U,S[4~8G/=S%``4`!`Y`!`S`S +KKKg6+6LefsKdP lQ|[~Pa7v%S8oeq["}}c::o:&:s:&:S:S +%%%9;,;}(~|%$fL]RQJGfwV][wEZR8 Gk!;CCZC_CbC_CwCw +000=TgT~pic0|>B7u8P_>m@NBfFey74ZUI EEeE E\E EfEf +WWW>TZTb^cRWo=5G\f/I=:DjxL;)n0VKwjGuu)u5ucu5uLuL +ttt^{l{DGZ"tq+*L8!@F+y`;MBzp*n!XmfOHHpH3x]k1||^))3);)8)K)m:KmKBN)K +888AKCK[ll-8(`v .Gy `N]U,S[4~8G/Qt%``4`!`Y`!`S`S +KKKg6+6Lee&KdP lQ|[~Pa7v%S8oeq["fvc::o:&:s:&:S:S +%%%9;,;}((a%$fL]RQJGfwV][wEZR8 G!g;CCZC_CbC_CwCw +000=TgT~pp=0|>B7u8P_>m@NBfFey74ZCd EEeE E\E EfEf +WWW>TZTb^^cWo=5G\f/I=:DjxL;)n0VK`NGuu)u5ucu5uLuL +ttt^{l{DGGGtq+*L8!@F+y`;MBzp*n!Xy5OHHpH3x]k1MN^))3);)8);)K)K +888AKCK[^CCA8(`v .Gy `N]U,S[4~8G/tT%``4`!`Y`!`S`S +KKKg6+6Lz++fKdP lQ|[~Pa7v%S8oeq["Ouc::o:&:s:&:S:S +%%%9;,;}{,,(%$fL]RQJGfwV][wEZR8 Gvx;CCZC_CbC_CwCw +000=TgT~3g3g0|>B7u8P_>m@NBfFey74ZN EEeE E\E EfEf +WWW>TZTboWWTWZWdWZW3x]k1Bz^))3);)8);)K)K +888AKCK[^CB7u8P_>m@NBfFey74Z.C EEeE E\E EfEf +WWW>TZTbZoWWTWZWdWZW3x]k1@m^))3);)8)K)m:|N;|m)K +888AKCK[^C""8(`v .Gy `N]U,S[4~8G/TQ%``4`!`Y`!`S`S +KKKg6+6Lz+!1KdP lQ|[~Pa7v%S8oeq["iOc::o:&:s:&:S:S +%%%9;,;}{,|:%$fL]RQJGfwV][wEZR8 G,];CCZC_CbC_CwCw +000=TgT~3g<]0|>B7u8P_>m@NBfFey74Zd. EEeE E\E EfEf +WWW>TZTbWo=5G\f/I=:DjxL;)n0VK&&Guu)u5ucu5uLuL +ttt^{l{DRlJZtq+*L8!@F+y`;MBzp*n!X~:OHHpH3x]k1CB^))3);)8);)K)K +888AKCK[^C-R8(`v .Gy `N]U,S[4~8G/95%``4`!`Y`!`S`S +KKKg6+6Lz+1gKdP lQ|[~Pa7v%S8oeq["uic::o:&:s:&:S:S +%%%9;,;}{,i~%$fL]RQJGfwV][wEZR8 GXX;CCZC_CbC_CwCw +000=TgT~3gcp0|>B7u8P_>m@NBfFey74Z%a EEeE E\E EfEf +WWW>TZTb3x]k1;m^))3);)8);)K)K +888AKCK[^C7l8(`v .Gy `N]U,=[4~8G/!Q%``4`!`Y`S`=VQU!=9`S +KKKg6+6Lz+r+KdP lQ|[~Pa7v%}8oeq["&Oc::o:&:s:&:S:S +%%%9;,;}{,a{%$fL]RQJGfwV][kEZR8 G_];CCZC_CbC_CwCw +000=TgT~3g]n0|>B7u8P_>m@NBUFey74Z . EEeE E\E EfEf +WWW>TZTb3x]k1KB^))3);)8);)K)K +888AKCK[^CA<8(`v .Gy `N]U,=[4~8G/S5%``4`!`Y`!`S`S +KKKg6+6Lz+g!KdP lQ|[~Pa7v%}8oeq["Sic::o:&:s:S:}.fui&O:S +%%%9;,;}{,9i%$fL]RQJGfwV][kEZR8 GwX;CCZC_CbC_CwCw +000=TgT~3g=w0|>B7u8P_>m@NBUFey74Zfa EEeE E\E EfEf +WWW>TZTb-Wo=5G\f/I=:Djxw;)n0VKw5Guu)u5ucu5uLuL +ttt^{l{DRl^^tq+*L8!@F+y`;Mmzp*n!XmBOHHpH3x]k1mC^))3);)8);)K)K +888AKCK[^C@78(`v .Gy `N]U,=[4~8G/=;%``4`!`Y`!`S`S +KKKg6+6Lz+frKdP lQ|[~Pa7v%}8oeq["f&c::o:&:s:&:S:S +%%%9;,;}{,~9%$fL]RQJGfwV][kEZR8 G!w;CCZC_CbC_CwCw +000=TgT~3gii0|>B7u8P_>m@NBUFey74ZCU EEeE E\E EfEf +WWW>TZTb3x]k1MK^))3);)8);)K)K +888AKCK[^Cl@8(`v .Gy `N]U,=[4~8G/t=%``4`!`Y`!`S`S +KKKg6+6Lz+eeKdP lQ|[~Pa7v%}8oeq["Ofc::o:&:s:&:S:S +%%%9;,;}{{,,%$fL]RQJGfwV][kEZR8 Gvv;CCZC_CbC_CwCw +000=TgT~33g30|>B7u8P_>m@NBUFey74ZIN EEeE E\E EfEf +WWW>TZTb<3x]k1BM^))3);)8);)K)K +888AKCK[^^^^8(`v .Gy `N]U,=[4~8G/UU%``4`!`Y`!`S`S +KKKg6+6LzzzsKdP lQ|[~Pa7v%}8oeq["v#c::o:&:s:&:S:S +%%%9;,;}{{{|%$fL]RQJGfwV][kEZR8 G],;CCZC_CbC_CwCw +000=TgT~333c0|>B7u8P_>m@NBUFey74ZN% EEeE E\E EfEf +WWW>TZTb<<3x]k1N@^))3);)8);)K)K +888AKCK[^^<-8(`v .Gy `N]U,=[4~8G/59%``4`!`Y`!`S`S +KKKg6+6Lzzs&KdP lQ|[~Pa7v%}8oeq["# c::o:&:s:&:S:S +%%%9;,;}{{Ca%$fL]RQJGfwV][kEZR8 G,_;CCZC_CbC_CwCw +000=TgT~33n=0|>B7u8P_>m@NBUFey74Zdf EEeE E\E EfEf +WWW>TZTb<<&cWo=5G\f/I=:Djxw;)n0VK&wGuu)u5ucu5uLuL +ttt^{l{DRRNGtq+*L8!@F+y`;Mmzp*n!X~yOHHpHcoWWTWZWdWZW3x]k1C;^))3);)8);)K)K +888AKCK[^^"A8(`v .Gy `N]U,=[4~8G/9S%``4`!`Y`!`S`S +KKKg6+6Lzz!fKdP lQ|[~Pa7v%}8oeq["u}c::o:&:s:&:S:S +%%%9;,;}{{|(%$fL]RQJGfwV][kEZR8 GX!;CCZC_CbC_CwCw +000=TgT~33cg0|>B7u8P_>m@NBUFey74Z%I EEeE E\E EfEf +WWW>TZTb<3x]k1z|^))3);)8);)K)K +888AKCK[^^7C8(`v .Gy `N]U,=[4~8G/;t%``4`!`Y`!`S`S +KKKg6+6Lzz&zKdP lQ|[~Pa7v%}8oeq[" vc::o:&:s:&:S:S +%%%9;,;}{{:C%$fL]RQJGfwV][!EZR8 G__;CCZC_CbC_CwCw +000=TgT~33w<0|>B7u8P_>m@NBCFey74Z f EEeE E\E EfEf +WWW>TZTb<3x]k1K;^))3);)8);)K)K +888AKCK[^^R"8(`v .Gy `N]U,Q[4~8G/SS%``4`!`Y`!`S`S +KKKg6+6Lzzr1KdP lQ|[~Pa7v%f8oeq["S}c::o:&:s:&:S:S +%%%9;,;}{{a:%$fL]RQJGfwV][!EZR8 Gw!;CCZC_CbCwCkK_X_!xCw +000=TgT~33]]0|>B7u8P_>m@NBCFey74ZfI EEeE E\E EfEf +WWW>TZTb<<->Wo=5G\f/I=:Djx`;)n0VKLjGuu)u5ucu5uLuL +ttt^{l{DRR"Ztq+*L8!@F+y`;Myzp*n!XBfOHHpH3x]k1m|^))3);)8)K)m:KB;CC)K +888AKCK[^^AR8(`v .Gy `N]U,Q[4~8G/=t%``4`!`Y`!`S`S +KKKg6+6LzzggKdP lQ|[~Pa7v%f8oeq["}vc::o:&:s:&:S:S +%%%9;,;}{{9~%$fL]RQJGfwV][!EZR8 Gkg;CCZC_CbC_CwCw +000=TgT~33=p0|>B7u8P_>m@NBCFey74ZUd EEeE E\EfEU= IIC.Ef +WWW>TZTb<3x]k1|N^))3);)8);)K)K +888AKCK[^^@l8(`v .Gy `N]U,Q[4~8G/QT%``4`!`Y`S`=VttQUt`S +KKKg6+6Lzze+KdP lQ|[~Pa7v%f8oeq["fuc::o:&:s:&:S:S +%%%9;,;}{{({%$fL]RQJGfwV][!EZR8 G!x;CCZC_CbC_CwCw +000=TgT~33pn0|>B7u8P_>m@NBCFey74ZI EEeE E\E EfEf +WWW>TZTb<<^XWo=5G\f/I=:Djx`;)n0VK LGuu)u5ucu5uLuL +ttt^{l{DRRGHtq+*L8!@F+y`;Myzp*n!X mOHHpHoWWTWZWdWZW3x]k1Mz^))3);)8)K)m:MNKMN)K +888AKCK[^B7u8P_>m@NBCFey74ZNC EEeE E\E EfEf +WWW>TZTb<&Z-Wo=5G\f/I=:Djx`;)n0VKj Guu)u5ucu5uLuL +ttt^{l{DRNl^tq+*L8!@F+y`;Myzp*n!X;;OHHpH3x]k1Nm^))3);)8);)K)K +888AKCK[^<^78(`v .Gy `N]U,Q[4~8G/5Q%``4`!`Y`!`S`S +KKKg6+6LzszrKdP lQ|[~Pa7v%f8oeq["#Oc::o:&:s:&:S:S +%%%9;,;}{C{9%$fL]RQJGfwV][!EZR8 Gg];CCZC_CbC_CwCw +000=TgT~3n3i0|>B7u8P_>m@NBCFey74Z.. EEeE E\E EfEf +WWW>TZTb<&<^Wo=5G\f/I=:Djx`;)n0VK &Guu)u5ucuLuwQwNL&\uL +ttt^{l{DRNNltq+*L8!@F+y`;Myzp*n!Xf:OHHpHXoWWTWZWdWZW3x]k1@B^))3);)8);)K)K +888AKCK[^<<@8(`v .Gy `N]U,Q[4~8G/T5%``4`!`Y`S`=V!QT=Q`S +KKKg6+6LzsseKdP lQ|[~Pa7v%f8oeq["iic::o:&:s:&:S:S +%%%9;,;}{C|,%$fL]RQJGfwV][!EZR8 G,X;CCZC_CbC_CwCw +000=TgT~3n<30|>B7u8P_>m@NBCFey74Zda EEeE E\E EfEf +WWW>TZTb<&X&Wo=5G\f/I=:Djx`;)n0VKN5Guu)u5ucu5uLuL +ttt^{l{DRNJJtq+*L8!@F+y`;Myzp*n!X:BOHHpH3x]k1CC^))3);)8);)K)K +888AKCK[^<-^8(`v .Gy `N]U,Q[4~8G/9;%``4`!`Y`!`S`S +KKKg6+6Lzs1sKdP lQ|[~Pa7v%f8oeq[" &c::o:&:s:&:S:S +%%%9;,;}{Ci|%$fL]RQJGfwV][!EZR8 Gxw;CCZC_CbC_CwCw +000=TgT~3ncc0|>B7u8P_>m@NBCFey74ZaU EEeE E\E EfEf +WWW>TZTb<&MRWo=5G\f/I=:Djx`;)n0VK\`Guu)u5ucuLuwQL&` uL +ttt^{l{DRNH"tq+*L8!@F+y`;Myzp*n!X5 OHHpH3x]k1;B^))3);)8);)K)K +888AKCK[^<7-8(`v .Gy `N]5,S[4~8G/!5%``4`!`Y`!`S`S +KKKg6+6Lzs&&KdP lQ|[~Pa7#%S8oeq["&ic::o:&:s:&:S:S +%%%9;,;}{C:a%$fL]RQJGfwVg[wEZR8 G_X;CCZC_CbC_CwCw +000=TgT~3nw=0|>B7u8P_>m@.BfFey74Z a EEeE E\E EfEf +WWW>TZTb<&RcWo=5G\f/I=:D xL;)n0VKL5Guu)u5ucu5uLuL +ttt^{l{DRN Gtq+*L8!@F+y`fMBzp*n!XBBOHHpH3x]k1KC^))3);)8);)K)K +888AKCK[^B7u8P_>m@.BfFey74ZUU EEeE E\E EfEf +WWW>TZTb<&>3x]k1|K^))3);)8);)K)K +888AKCK[^<@C8(`v .Gy `N]5,S[4~8G/Q=%``4`!`Y`!`S`S +KKKg6+6LzsfzKdP lQ|[~Pa7#%S8oeq["ffc::o:&:s:&:S:S +%%%9;,;}{C~C%$fL]RQJGfwVg[wEZR8 G!v;CCZC_CbC_CwCw +000=TgT~3ni<0|>B7u8P_>m@.BfFey74ZCN EEeE E\E EfEf +WWW>TZTb<&cMWo=5G\f/I=:D xL;)n0VK` Guu)u5ucu5uLuL +ttt^{l{DRNZ tq+*L8!@F+y`fMBzp*n!Xy~OHHpH3x]k1MM^))3);)8);)K)K +888AKCK[^B7u8P_>m@.BfFey74ZI% EEeE E\E EfEf +WWW>TZTb<&^>Wo=5G\f/I=:D xL;)n0VK \Guu)u5ucu5uLuL +ttt^{l{DRNGZtq+*L8!@F+y`fMBzp*n!X;3x]k1B@^))3);)8);)K)K +888AKCK[^"CR8(`v .Gy `N]5,S[4~8G/U9%``4`!`Y`!`S`S +KKKg6+6Lz!+gKdP lQ|[~Pa7#%S8oeq["v c::o:&:s:&:S:S +%%%9;,;}{|,~%$fL]RQJGfwVg[wEZR8 Gg_;CCZC_CbC_CwCw +000=TgT~3B7u8P_>m@.BfFey74Z.f EEeE E\E EfEf +WWW>TZTb3x]k1@;^))3);)8);)K)K +888AKCK[^"^l8(`v .Gy `N]5,S[4~8G/TS%``4`!`Y`!`S`S +KKKg6+6Lz!s+KdP lQ|[~Pa7#%S8oeq["i}c::o:&:s:&:S:S +%%%9;,;}{|C{%$fL]RQJGfwVg[wEZR8 G,!;CCZC_CbC_CwCw +000=TgT~3B7u8P_>m@.BfFey74ZdI EEeE E\E EfEf +WWW>TZTb3x]k1C|^))3);)8);)K)K +888AKCK[^""<8(`v .Gy `N]5,S[4~8G/9t%``4`!`Y`!`S`S +KKKg6+6Lz!!!KdP lQ|[~Pa7#%S8oeq["uvc::o:&:s:&:S:S +%%%9;,;}{||i%$fL]RQJGfwVg[wEZR8 GXg;CCZC_CbC_CwCw +000=TgT~3<B7u8P_>m@.BfFey74Z%d EEeE E\E EfEf +WWW>TZTb3x]k1;;^))3);)8);)K)K +888AKCK[^"-78(`v .Gy `N]5,=[4~8G/!S%``4`!`Y`!`S`S +KKKg6+6Lz!1rKdP lQ|[~Pa7#%}8oeq["&}c::o:&:s:&:S:S +%%%9;,;}{|i9%$fL]RQJGfwVg[kEZR8 G_!;CCZC_CbC_CwCw +000=TgT~3B7u8P_>m@.BUFey74Z I EEeE E\E EfEf +WWW>TZTb3x]k1K|^))3);)8);)K)K +888AKCK[^"7@8(`v .Gy `N]5,=[4~8G/St%``4`!`Y`!`S`S +KKKg6+6Lz!&eKdP lQ|[~Pa7#%}8oeq["Svc::o:&:s:&:S:S +%%%9;,;}{|a,%$fL]RQJGfwVg[kEZR8 Gwg;CCZC_CbC_CwCw +000=TgT~3<]30|>B7u8P_>m@.BUFey74Zfd EEeE E\E EfEf +WWW>TZTb3x]k1mN^))3);)8);)K)K +888AKCK[^"A^8(`v .Gy `N]5,=[4~8G/=T%``4`!`Y`!`S`S +KKKg6+6Lz!gsKdP lQ|[~Pa7#%}8oeq["}uc::o:&:s:&:S:S +%%%9;,;}{|9|%$fL]RQJGfwVg[kEZR8 Gkx;CCZC_CbC_CwCw +000=TgT~3<=c0|>B7u8P_>m@.BUFey74ZC EEeE E\E EfEf +WWW>TZTbRWo=5G\f/I=:D xw;)n0VK`LGuu)u5ucu5uLuL +ttt^{l{DRJ^"tq+*L8!@F+y`fMmzp*n!XymOHHpHoWWTWZWdWZW3x]k1|z^))3);)8);)K)K +888AKCK[^"@-8(`v .Gy `N]5,=[4~8G/t!%``4`!`Y`!`S`S +KKKg6+6Lz!f&KdP lQ|[~Pa7#%}8oeq["OSc::o:&:s:&:S:S +%%%9;,;}{|~a%$fL]RQJGfwVg[kEZR8 Gvk;CCZC_CbC_CwCw +000=TgT~3B7u8P_>m@.BUFey74ZIC EEeE E\E EfEf +WWW>TZTb3x]k1Bm^))3);)8);)K)K +888AKCK[^"lA8(`v .Gy `N]5,=[4~8G/UQ%``4`!`Y`!`S`S +KKKg6+6Lz!efKdP lQ|[~Pa7#%}8oeq["vOc::o:&:s:&:S:S +%%%9;,;}{|((%$fL]RQJGfwVg[kEZR8 G]];CCZC_CbC_CwCw +000=TgT~3cgg0|>B7u8P_>m@.BUFey74ZN. EEeE E\E EfEf +WWW>TZTb3x]k1NB^))3);)8);)K)K +888AKCK[^-^C8(`v .Gy `N]5,=[4~8G/55%``4`!`Y`!`S`S +KKKg6+6Lz1zzKdP lQ|[~Pa7#%}8oeq["#ic::o:&:s:&:S:S +%%%9;,;}{i{C%$fL]RQJGfwVg[kEZR8 GgX;CCZC_CbC_CwCw +000=TgT~3c3<0|>B7u8P_>m@.BUFey74Z.a EEeE E\E EfEf +WWW>TZTb-oWWTWZWdWZW3x]k1@C^))3);)8);)K)K +888AKCK[^-<"8(`v .Gy `N]5,=[4~8G/T;%``4`!`Y`!`S`S +KKKg6+6Lz1s1KdP lQ|[~Pa7#%}8oeq["u&c::o:&:s:&:S:S +%%%9;,;}{iC:%$fL]RQJGfwVg[kEZR8 GXw;CCZC_CbC_CwCw +000=TgT~3cn]0|>B7u8P_>m@.BUFey74Z%U EEeE E\E EfEf +WWW>TZTbWo=5G\f/I=:D xw;)n0VKN`Guu)u5ucu5uLuL +ttt^{l{DRHNZtq+*L8!@F+y`fMmzp*n!X: OHHpH3x]k1zK^))3);)8);)K)K +888AKCK[^-"R8(`v .Gy `N]5,=[4~8G/;=%``4`!`Y`!`S`S +KKKg6+6Lz1!gKdP lQ|[~Pa7#%}8oeq[" fc::o:&:s:&:S:S +%%%9;,;}{i|~%$fL]RQJGfwVg[kEZR8 Gxv;CCZC_CbC_CwCw +000=TgT~3cB7u8P_>m@.BUFey74ZaN EEeE E\E EfEf +WWW>TZTb3x]k1;C^))3);)8);)K)K +888AKCK[^--l8(`v .Gy `N]5,Q[4~8G/!;%``4`!`Y`!`S`S +KKKg6+6Lz1&+KdP lQ|[~Pa7#%f8oeq["S&c::o:&:s:&:S:S +%%%9;,;}{i:{%$fL]RQJGfwVg[!EZR8 Gww;CCZC_CbC_CwCw +000=TgT~3cwn0|>B7u8P_>m@.BCFey74ZfU EEeE E\E EfEf +WWW>TZTb3x]k1mK^))3);)8);)K)K +888AKCK[^-R<8(`v .Gy `N]5,Q[4~8G/==%``4`!`Y`!`S`S +KKKg6+6Lz1r!KdP lQ|[~Pa7#%f8oeq["}fc::o:&:s:&:S:S +%%%9;,;}{iai%$fL]RQJGfwVg[!EZR8 Gkv;CCZC_CbC_CwCw +000=TgT~3c]w0|>B7u8P_>m@.BCFey74ZUN EEeE E\E EfEf +WWW>TZTb3x]k1|M^))3);)8);)K)K +888AKCK[^-A78(`v .Gy `N]5,Q[4~8G/QU%``4`!`Y`!`S`S +KKKg6+6Lz1grKdP lQ|[~Pa7#%f8oeq["f#c::o:&:s:&:S:S +%%%9;,;}{i99%$fL]RQJGfwVg[!EZR8 G!,;CCZC_CbC_CwCw +000=TgT~3c=i0|>B7u8P_>m@.BCFey74ZC% EEeE E\E EfEf +WWW>TZTb^Wo=5G\f/I=:D x`;)n0VK`\Guu)u5ucu5uLuL +ttt^{l{DRHZltq+*L8!@F+y`fMyzp*n!X 3x]k1M@^))3);)8);)K)K +888AKCK[^-@@8(`v .Gy `N]5,Q[4~8G/t9%``4`!`Y`!`S`S +KKKg6+6Lz1feKdP lQ|[~Pa7#%f8oeq["O c::o:&:s:&:S:S +%%%9;,;}{i(,%$fL]RQJGfwVg[!EZR8 G]_;CCZC_CbC_CwCw +000=TgT~3cp30|>B7u8P_>m@.BCFey74ZNf EEeE E\E EfEf +WWW>TZTb3x]k1N;^))3);)8);)K)K +888AKCK[^7C^8(`v .Gy `N]5,Q[4~8G/5S%``4`!`Y`!`S`S +KKKg6+6Lz&+sKdP lQ|[~Pa7#%f8oeq["#}c::o:&:s:&:S:S +%%%9;,;}{:,|%$fL]RQJGfwVg[!EZR8 Gg!;CCZC_CbC_CwCw +000=TgT~3wgc0|>B7u8P_>m@.BCFey74Z.I EEeE E\E EfEf +WWW>TZTb3x]k1@|^))3);)8);)K)K +888AKCK[^7^-8(`v .Gy `N]5,Q[4~8G/Tt%``4`!`Y`!`S`S +KKKg6+6Lz&z&KdP lQ|[~Pa7#%f8oeq["ivc::o:&:s:&:S:S +%%%9;,;}{:{a%$fL]RQJGfwVg[!EZR8 G,g;CCZC_CbC_CwCw +000=TgT~3w3=0|>B7u8P_>m@.BCFey74Zdd EEeE E\E EfEf +WWW>TZTb3x]k1CN^))3);)8);)K)K +888AKCK[^7B7u8P_>m@.BCFey74Za EEeE E\EfEU=C%CCaEf +WWW>TZTba&_lNqtt{tlt/tltRtR +HHH~pyp4yHOARoYq+dAbdKXm>3b]k1;|^))3);)8);)K)K +888AK"K[-8(`v .Gy `N]S,=[4b8G/!t%``4`!`Y`!`S`S +KKKg6!6L&KdP lQ|[~Pa7S%}8o@q["&vc::o:&:s:&:S:S +%%%9;|;}a%$fL]RQJGfwVw[kEZ-8 G_g;CCZC_CbC_CwCw +000=TB7u8P_>m@fBUFe074Z d EEeE E\E EfEf +WWW>TXTbcWo=5G\f/I=:DLxw;)B0VK5NGuu)u5ucu5uLuL +ttt^{J{DGtq+*L8!@F+y`BMmzp%n!X<5OHHpHa&_R qtt{tlt/tltRtR +HHH~pyp4BfHOARoYq+dAbdKXm>3b]k1KN^))3);)8);)K)K +888AK"K[^A8(`v .Gy `N]S,=[4b8G/ST%``4`!`Y`!`S`S +KKKg6!6LzfKdP lQ|[~Pa7S%}8o@q["Suc::o:&:s:&:S:S +%%%9;|;}{(%$fL]RQJGfwVw[kEZ-8 Gwx;CCZC_CbC_CwCw +000=TB7u8P_>m@fBUFe074ZU EEeE E\E EfEf +WWW>TXTb&oWWTWZWdWZWa&_NZqtt{tlt/tltRtR +HHH~pyp4m5HOARoYq+dAbdKXm>3b]k1mz^))3);)8);)K)K +888AK"K["C8(`v .Gy `N]S,=[4b8G/Q!%``4`!`Y`!`S`S +KKKg6!6L!zKdP lQ|[~Pa7S%}8o@q["fSc::o:&:s:&:S:S +%%%9;|;}|C%$fL]RQJGfwVw[kEZ-8 G!k;CCZC_CbC_CwCw +000=TB7u8P_>m@fBUFe074ZCC EEeE E\E EfEf +WWW>TXTbXMWo=5G\f/I=:DLxw;)B0VK` Guu)u5ucu5uLuL +ttt^{J{DJ tq+*L8!@F+y`BMmzp%n!Xy;OHHpHa&_HRqtt{tlt/tltRtR +HHH~pyp4 mHOARoYq+dAbdKXm>3b]k1Mm^))3);)8);)K)K +888AK"K[-"8(`v .Gy `N]S,=[4b8G/tQ%``4`!`Y`!`S`S +KKKg6!6L11KdP lQ|[~Pa7S%}8o@q["OOc::o:&:s:&:S:S +%%%9;|;}i:%$fL]RQJGfwVw[kEZ-8 Gv];CCZC_CbC_CwCw +000=TB7u8P_>m@fBUFe074ZI. EEeE E\E EfEf +WWW>TXTbM>Wo=5G\f/I=:DLxw;)B0VK &Guu)u5ucu5uLuL +ttt^{J{DHZtq+*L8!@F+y`BMyzp%n!X<a&_l"qtt{tlt/tltRtR +HHH~pyp4;;HOARoYq+dAbdKX|>3b]k1;@^))3);)8);)K)K +888AK"K[7R8(`v .Gy `N]S,Q[4b8G/!9%``4`!`Y`!`S`S +KKKg6!6L&gKdP lQ|[~Pa7S%f8o@q["& c::o:&:s:&:S:S +%%%9;|;}:~%$fL]RQJGfwVw[!EZ-8 Gw_;CCZC_CbC_CwCw +000=TB7u8P_>m@fBCFe074Zff EEeE E\E EfEf +WWW>TXTb-ZWo=5G\f/I=:DLx`;)B0VKLwGuu)u5ucu5uLuL +ttt^{J{D"Rtq+*L8!@F+y`BMyzp%n!XByOHHpHa&_RGqtt{tlt/tltRtR +HHH~pyp4f:HOARoYq+dAbdKX|>3b]k1m;^))3);)8);)K)K +888AK"K[Rl8(`v .Gy `N]S,Q[4b8G/=S%``4`!`Y`!`S`S +KKKg6!6Lg+KdP lQ|[~Pa7S%f8o@q["}}c::o:&:s:&:S:S +%%%9;|;}9{%$fL]RQJGfwVw[!EZ-8 Gk!;CCZC_CbC_CwCw +000=TB7u8P_>m@fBCFe074ZUI EEeE E\E EfEf +WWW>TXTb>XWo=5G\f/I=:DLx`;)B0VKwjGuu)u5ucu5uLuL +ttt^{J{D^Htq+*L8!@F+y`BMyzp%n!XmfOHHpHa&_JNqtt{tlt/tltRtR +HHH~pyp4:BHOARoYq+dAbdKX|>3b]k1||^))3);)8);)K)K +888AK"K[@<8(`v .Gy `N]S,Q[4b8G/Qt%``4`!`Y`!`S`S +KKKg6!6Lf!KdP lQ|[~Pa7S%f8o@q["fvc::o:&:s:&:S:S +%%%9;|;}~i%$fL]RQJGfwVw[!EZ-8 G!g;CCZC_CbC_CwCw +000=TB7u8P_>m@fBCFe074ZCd EEeE E\E EfEf +WWW>TXTbc-Wo=5G\f/I=:DLx`;)B0VK`NGuu)u5ucu5uLuL +ttt^{J{DZ^tq+*L8!@F+y`BMyzp%n!Xy5OHHpHa&_H qtt{tlt/tltRtR +HHH~pyp45 HOARoYq+dAbdKX|>3b]k1MN^))3);)8);)K)K +888AK"K[l78(`v .Gy `N]S,Q[4b8G/tT%``4`!`Y`!`S`S +KKKg6!6LerKdP lQ|[~Pa7}%}8o@q["&&c::o:&:s:&:S:S +%%%9;|;}(9%$fL]RQJGfwVk[kEZ-8 G_w;CCZC_CbC_CwCw +000=TB7u8P_>m@UBUFe074Z U EEeE E\E EfEf +WWW>TXTb^^Wo=5G\f/I=:Dwxw;)B0VK5`Guu)u5ucu5uLuL +ttt^{J{DRlltq+*L8!@F+y`mMmzp%n!X< OHHpHa&_Rlqtt{tlt/tltRtR +HHH~pyp4B<~HOARoYq+dAbdmXm>3b]k1KK^))3);)8);)K)K +888AK"K[^C@8(`v .Gy `N]=,=[4b8G/S=%``4`!`Y`!`S`S +KKKg6!6Lz+eKdP lQ|[~Pa7}%}8o@q["Sfc::o:&:s:&:S:S +%%%9;|;}{{,%$fL]RQJGfwVk[kEZ-8 Gwv;CCZC_CbC_CwCw +000=TB7u8P_>m@UBUFe074ZfN EEeE E\E EfEf +WWW>TXTb<<&Wo=5G\f/I=:Dwxw;)B0VKL Guu)u5ucu5uLuL +ttt^{J{DRRJtq+*L8!@F+y`mMmzp%n!XB~OHHpHa&_NJqtt{tlt/tltRtR +HHH~pyp4Bm3b]k1mM^))3);)8);)K)K +888AK"K[^<^8(`v .Gy `N]=,=[4b8G/=U%``4`!`Y`!`S`S +KKKg6!6LzssKdP lQ|[~Pa7}%}8o@q["}#c::o:&:s:&:S:S +%%%9;|;}{C|%$fL]RQJGfwVk[kEZ-8 Gk,;CCZC_CbC_CwCw +000=TB7u8P_>m@UBUFe074ZU% EEeE E\E EfEf +WWW>TXTb<&RWo=5G\f/I=:Dwxw;)B0VKw\Guu)u5ucu5uLuL +ttt^{J{DRN"tq+*L8!@F+y`mMmzp%n!Xya&_J"qtt{tlt/tltRtR +HHH~pyp4ByyHOARoYq+dAbdmXm>3b]k1|@^))3);)8);)K)K +888AK"K[^"-8(`v .Gy `N]=,=[4b8G/Q9%``4`!`Y`!`S`S +KKKg6!6Lz!&KdP lQ|[~Pa7}%}8o@q["f c::o:&:s:&:S:S +%%%9;|;}{|a%$fL]RQJGfwVk[kEZ-8 Gv_;CCZC_CbC_CwCw +000=TB7u8P_>m@UBUFe074ZIf EEeE E\E EfEf +WWW>TXTba&_lRqtt{tlt/tltRtR +HHH~pyp4B fHOARoYq+dAbdmX|>3b]k1;m^))3);)8);)K)K +888AK"K[^-A8(`v .Gy `N]=,Q[4b8G/!Q%``4`!`Y`!`S`S +KKKg6!6Lz1fKdP lQ|[~Pa7}%f8o@q["&Oc::o:&:s:&:S:S +%%%9;|;}{i(%$fL]RQJGfwVk[!EZ-8 G_];CCZC_CbC_CwCw +000=TB7u8P_>m@UBCFe074Z . EEeE E\E EfEf +WWW>TXTba&_RHqtt{tlt/tltRtR +HHH~pyp4B;5HOARoYq+dAbdmX|>3b]k1KB^))3);)8);)K)K +888AK"K[^RC8(`v .Gy `N]=,Q[4b8G/S5%``4`!`Y`!`S`S +KKKg6!6LzrzKdP lQ|[~Pa7}%f8o@q["Sic::o:&:s:&:S:S +%%%9;|;}{aC%$fL]RQJGfwVk[!EZ-8 GwX;CCZC_CbC_CwCw +000=TB7u8P_>m@UBCFe074Zfa EEeE E\E EfEf +WWW>TXTb<-MWo=5G\f/I=:Dwx`;)B0VKw5Guu)u5ucu5uLuL +ttt^{J{DR" tq+*L8!@F+y`mMyzp%n!XmBOHHpHa&_N^qtt{tlt/tltRtR +HHH~pyp4B~mHOARoYq+dAbdmX|>3b]k1mC^))3);)8);)K)K +888AK"K[^A"8(`v .Gy `N]=,Q[4b8G/=;%``4`!`Y`!`S`S +KKKg6!6Lzg1KdP lQ|[~Pa7}%f8o@q["f&c::o:&:s:&:S:S +%%%9;|;}{9:%$fL]RQJGfwVk[!EZ-8 G!w;CCZC_CbC_CwCw +000=TB7u8P_>m@UBCFe074ZCU EEeE E\E EfEf +WWW>TXTb<>>Wo=5G\f/I=:Dwx`;)B0VK``Guu)u5ucu5uLuL +ttt^{J{DR^Ztq+*L8!@F+y`mMyzp%n!Xy OHHpHa&_Hlqtt{tlt/tltRtR +HHH~pyp4B:;HOARoYq+dAbdmX|>3b]k1MK^))3);)8);)K)K +888AK"K[^@R8(`v .Gy `N]=,Q[4b8G/t=%``4`!`Y`!`S`S +KKKg6!6LzfgKdP lQ|[~Pa7}%f8o@q["Ofc::o:&:s:&:S:S +%%%9;|;}{~~%$fL]RQJGfwVk[!EZ-8 Gvv;CCZC_CbC_CwCw +000=TB7u8P_>m@UBCFe074ZIN EEeE E\E EfEf +WWW>TXTb<^ZWo=5G\f/I=:Dwx`;)B0VK Guu)u5ucu5uLuL +ttt^{J{DRGRtq+*L8!@F+y`mMyzp%n!X ~OHHpHa&_l qtt{tlt/tltRtR +HHH~pyp4B5:HOARoYq+dAbd|XK>3b]k1;N^))3);)8)K)m:mzM|C)K +888AK"K[^ll8(`v .Gy `N]Q,S[4b8G/!T%``4`!`Y`!`S`S +KKKg6!6Ls++KdP lQ|[~Pa7f%S8o@q["&uc::o:&:s:&:S:S +%%%9;|;}C,{%$fL]RQJGfwV![wEZ-8 G_x;CCZC_CbC_CwCw +000=TB7u8P_>m@CBfFe074Zf EEeE E\E EfEf +WWW>TXTb&ZXWo=5G\f/I=:D`xL;)B0VKLLGuu)u5ucu5uLuL +ttt^{J{DNlHtq+*L8!@F+y`yMBzp%n!XBmOHHpHoWWTWZWdWZWa&_RZqtt{tlt/tltRtR +HHH~pyp4mBBHOARoYq+dAbd|XK>3b]k1Kz^))3);)8);)K)K +888AK"K[<^<8(`v .Gy `N]Q,S[4b8G/=!%``4`!`Y`!`S`S +KKKg6!6Lsz!KdP lQ|[~Pa7f%S8o@q["}Sc::o:&:s:&:S:S +%%%9;|;}C{i%$fL]RQJGfwV![wEZ-8 Gkk;CCZC_CbC_CwCw +000=TB7u8P_>m@CBfFe074ZUC EEeE E\E EfEf +WWW>TXTb&<-Wo=5G\f/I=:D`xL;)B0VKw Guu)u5ucu5uLuL +ttt^{J{DNR^tq+*L8!@F+y`yMBzp%n!Xm;OHHpHa&_JRqtt{tlt/tltRtR +HHH~pyp4mm HOARoYq+dAbd|XK>3b]k1|m^))3);)8);)K)K +888AK"K[<<78(`v .Gy `N]Q,S[4b8G/QQ%``4`!`Y`!`S`S +KKKg6!6LssrKdP lQ|[~Pa7f%S8o@q["fOc::o:&:s:&:S:S +%%%9;|;}CC9%$fL]RQJGfwV![wEZ-8 G!];CCZC_CbC_CwCw +000=TB7u8P_>m@CBfFe074ZC. EEeE E\E EfEf +WWW>TXTb&&^Wo=5G\f/I=:D`xL;)B0VK`&Guu)u5ucu5uLuL +ttt^{J{DNJltq+*L8!@F+y`yMBzp%n!Xy:OHHpHa&_HHqtt{tlt/tltRtR +HHH~pyp4my~HOARoYq+dAbd|XK>3b]k1MB^))3);)8)K)m:;|mBm)K +888AK"K[<"@8(`v .Gy `N]Q,S[4b8G/t5%``4`!`Y`!`S`S +KKKg6!6Ls!eKdP lQ|[~Pa7f%S8o@q["Oic::o:&:s:&:S:S +%%%9;|;}Ci,%$fL]RQJGfwV![kEZ-8 G__;CCZC_CbC_CwCw +000=TB7u8P_>m@CBUFe074Z f EEeE E\E EfEf +WWW>TXTb&M&Wo=5G\f/I=:D`xw;)B0VK5wGuu)u5ucu5uLuL +ttt^{J{DNHJtq+*L8!@F+y`yMmzp%n!Xa&_lGqtt{tlt/tRtNsJl H^tR +HHH~pyp4m;3b]k1K;^))3);)8);)K)K +888AK"K[<7^8(`v .Gy `N]Q,=[4b8G/SS%``4`!`Y`!`S`S +KKKg6!6Ls&sKdP lQ|[~Pa7f%}8o@q["S}c::o:&:s:S:}.f##u#:S +%%%9;|;}C:|%$fL]RQJGfwV![kEZ-8 Gw!;CCZC_CbC_CwCw +000=TB7u8P_>m@CBUFe074ZfI EEeE E\E EfEf +WWW>TXTb&RRWo=5G\f/I=:D`xw;)B0VKLjGuu)u5ucuLuwQ`&\5LuL +ttt^{J{DN "tq+*L8!@F+y`yMmzp%n!XBfOHHpHa&_NNqtt{tlt/tltRtR +HHH~pyp4mfyHOARoYq+dAbd|Xm>3b]k1m|^))3);)8);)K)K +888AK"K[B7u8P_>m@CBUFe074ZUd EEeE E\E EfEf +WWW>TXTb&-cWo=5G\f/I=:D`xw;)B0VKwNGuu)u5ucu5uLuL +ttt^{J{DN"Gtq+*L8!@F+y`yMmzp%n!Xm5OHHpHa&_J qtt{tlt/tltRtR +HHH~pyp4m~fHOARoYq+dAbd|Xm>3b]k1|N^))3);)8);)K)K +888AK"K[B7u8P_>m@CBUFe074ZI EEeE E\E EfEf +WWW>TXTb&coWWTWZWdWZWa&_llqtt{tlt/tltRtR +HHH~pyp4m:5HOARoYq+dAbd|X|>3b]k1;K^))3);)8);)K)K +888AK"K[B7u8P_>m@CBCFe074Z N EEeE E\E EfEf +WWW>TXTb&^MWo=5G\f/I=:D`x`;)B0VK5 Guu)u5ucuLuwQ5j \uL +ttt^{J{DNG tq+*L8!@F+y`yMyzp%n!X<~OHHpHa&_RJqtt{tlt/tltRtR +HHH~pyp4y3b]k1KM^))3);)8)K)m:M@M@m)K +888AK"K["C"8(`v .Gy `N]Q,Q[4b8G/SU%``4`!`Y`!`S`S +KKKg6!6L!+1KdP lQ|[~Pa7f%f8o@q["S#c::o:&:s:&:S:S +%%%9;|;}|,:%$fL]RQJGfwV![!EZ-8 Gw,;CCZC_CbCwCkKw,x,wCw +000=TB7u8P_>m@CBCFe074Zf% EEeE E\EfEU=UNN CEf +WWW>TXTbXZ>Wo=5G\f/I=:D`x`;)B0VKL\Guu)u5ucu5uLuL +ttt^{J{DJlZtq+*L8!@F+y`yMyzp%n!Xma&_N"qtt{tlt/tltRtR +HHH~pyp4yB;HOARoYq+dAbd|X|>3b]k1m@^))3);)8);)K)K +888AK"K["^R8(`v .Gy `N]Q,Q[4b8G/=9%``4`!`Y`!`S`S +KKKg6!6L!zgKdP lQ|[~Pa7f%f8o@q["} c::o:&:s:S:}.fv iO:S +%%%9;|;}|{~%$fL]RQJGfwV![!EZ-8 G!_;CCZC_CbCwCkKvx,]xCw +000=TB7u8P_>m@CBCFe074ZCf EEeE E\E EfEf +WWW>TXTbX&ZWo=5G\f/I=:D`x`;)B0VK`wGuu)u5ucu5uLuL +ttt^{J{DJNRtq+*L8!@F+y`yMyzp%n!XyyOHHpHa&_JGqtt{tlt/tltRtR +HHH~pyp4ym:HOARoYq+dAbd|X|>3b]k1M;^))3);)8);)K)K +888AK"K["B7u8P_>m@CBCFe074ZII EEeE E\EfEU=ffa%CEf +WWW>TXTbXXXWo=5G\f/I=:D`x`;)B0VK jGuu)u5ucu5uLuL +ttt^{J{DJJHtq+*L8!@F+y`yMyzp%n!X fOHHpHa&_lHqtt{tlt/tltRtR +HHH~pyp4y BHOARoYq+dAbdMXK>3b]k1;B^))3);)8);)K)K +888AK"K["-<8(`v .Gy `N]t,S[4b8G/!5%``4`!`Y`!`S`S +KKKg6!6L!1!KdP lQ|[~Pa7O%S8o@q["&ic::o:&:s:&:S:S +%%%9;|;}|ii%$fL]RQJGfwVv[wEZ-8 G_X;CCZC_CbC_CwCw +000=TB7u8P_>m@IBfFe074Z a EEeE E\E EfEf +WWW>TXTbXM-Wo=5G\f/I=:D xL;)B0VKL5Guu)u5ucu5uLuL +ttt^{J{DJH^tq+*L8!@F+y` MBzp%n!XBBOHHpHa&_R^qtt{tlt/tltRtR +HHH~pyp4y; HOARoYq+dAbdMXK>3b]k1KC^))3);)8);)K)K +888AK"K["778(`v .Gy `N]t,S[4b8G/S;%``4`!`Y`!`S`S +KKKg6!6L!&rKdP lQ|[~Pa7O%S8o@q["}&c::o:&:s:&:S:S +%%%9;|;}|:9%$fL]RQJGfwVv[wEZ-8 Gkw;CCZC_CbCwCkKkg!vwCw +000=TB7u8P_>m@IBfFe074ZUU EEeE E\E EfEf +WWW>TXTbXR^Wo=5G\f/I=:D xL;)B0VKw`Guu)u5ucu5uLuL +ttt^{J{DJ"ltq+*L8!@F+y` MBzp%n!Xm OHHpHa&_Jlqtt{tlt/tltRtR +HHH~pyp4yf~HOARoYq+dAbdMXK>3b]k1|K^))3);)8);)K)K +888AK"K["R@8(`v .Gy `N]t,S[4b8G/Q=%``4`!`Y`!`S`S +KKKg6!6L!reKdP lQ|[~Pa7O%S8o@q["ffc::o:&:s:&:S:S +%%%9;|;}|9,%$fL]RQJGfwVv[wEZ-8 G!v;CCZC_CbC_CwCw +000=TB7u8P_>m@IBfFe074ZCN EEeE E\E EfEf +WWW>TXTbX>&Wo=5G\f/I=:D xL;)B0VK` Guu)u5ucu5uLuL +ttt^{J{DJ^Jtq+*L8!@F+y` MBzp%n!Xy~OHHpHa&_HJqtt{tlt/tltRtR +HHH~pyp4y:3b]k1MM^))3);)8)K)m:Mz|z)K +888AK"K["@^8(`v .Gy `N]t,S[4b8G/tU%``4`!`Y`!`S`S +KKKg6!6L!fsKdP lQ|[~Pa7O%S8o@q["O#c::o:&:s:&:S:S +%%%9;|;}|~|%$fL]RQJGfwVv[wEZ-8 Gv,;CCZC_CbCwCkK!_]wvCw +000=TB7u8P_>m@IBUFe074Z EEeE E\E EfEf +WWW>TXTbXcRWo=5G\f/I=:D xw;)B0VK5LGuu)u5ucu5uLuL +ttt^{J{DJZ"tq+*L8!@F+y` Mmzp%n!XoWWTWZWdWZWa&_lZqtt{tlt/tltRtR +HHH~pyp4y5yHOARoYq+dAbdMXm>3b]k1;z^))3);)8);)K)K +888AK"K["l-8(`v .Gy `N]t,=[4b8G/S!%``4`!`Y`!`S`S +KKKg6!6L!e&KdP lQ|[~Pa7O%}8o@q["SSc::o:&:s:&:S:S +%%%9;|;}|(a%$fL]RQJGfwVv[kEZ-8 Gwk;CCZC_CbC_CwCw +000=TB7u8P_>m@IBUFe074ZfC EEeE E\E EfEf +WWW>TXTbX^cWo=5G\f/I=:D xw;)B0VKL Guu)u5ucu5uLuL +ttt^{J{DJGGtq+*L8!@F+y` Mmzp%n!XB;OHHpHa&_NRqtt{tlt/tltRtR +HHH~pyp4 3b]k1mm^))3);)8);)K)K +888AK"K[-CA8(`v .Gy `N]t,=[4b8G/=Q%``4`!`Y`S`=VtU!9U`S +KKKg6!6L1+fKdP lQ|[~Pa7O%}8o@q["}Oc::o:&:s:&:S:S +%%%9;|;}i,(%$fL]RQJGfwVv[kEZ-8 Gk];CCZC_CbC_CwCw +000=TB7u8P_>m@IBUFe074ZU. EEeE E\E EfEf +WWW>TXTbM<a&_JHqtt{tlt/tltRtR +HHH~pyp4 B5HOARoYq+dAbdMXm>3b]k1|B^))3);)8);)K)K +888AK"K[-B7u8P_>m@IBUFe074ZCa EEeE E\E EfEf +WWW>TXTbM&MWo=5G\f/I=:D xw;)B0VK 5Guu)u5ucu5uLuL +ttt^{J{DHN tq+*L8!@F+y` Mmzp%n!X BOHHpHa&_H^qtt{tlt/tRtNsllG"JtR +HHH~pyp4 ymHOARoYq+dAbdMX|>3b]k1;;^))3);)8)K)m:|mN|)K +888AK"K[-""8(`v .Gy `N]t,Q[4b8G/!S%``4`!`Y`!`S`S +KKKg6!6L1!1KdP lQ|[~Pa7O%f8o@q["&}c::o:&:s:&:S:S +%%%9;|;}i|:%$fL]RQJGfwVv[!EZ-8 G_!;CCZC_CbC_CwCw +000=TB7u8P_>m@IBCFe074Z I EEeE E\E EfEf +WWW>TXTbMX>Wo=5G\f/I=:D x`;)B0VK5jGuu)u5ucu5uLuL +ttt^{J{DHJZtq+*L8!@F+y` Myzp%n!Xa&_RNqtt{tlt/tltRtR +HHH~pyp4 ;HOARoYq+dAbdMX|>3b]k1K|^))3);)8);)K)K +888AK"K[--R8(`v .Gy `N]t,Q[4b8G/St%``4`!`Y`!`S`S +KKKg6!6L11gKdP lQ|[~Pa7O%f8o@q["Svc::o:&:s:&:S:S +%%%9;|;}ii~%$fL]RQJGfwVv[!EZ-8 Gwg;CCZC_CbC_CwCw +000=TB7u8P_>m@IBCFe074Zfd EEeE E\EfEU=C U%IEf +WWW>TXTbMRZWo=5G\f/I=:D x`;)B0VKLNGuu)u5ucu5uLuL +ttt^{J{DH Rtq+*L8!@F+y` Myzp%n!XB5OHHpHa&_N qtt{tlt/tltRtR +HHH~pyp4 ;:HOARoYq+dAbdMX|>3b]k1mN^))3);)8);)K)K +888AK"K[-7l8(`v .Gy `N]t,Q[4b8G/=T%``4`!`Y`!`S`S +KKKg6!6L1r+KdP lQ|[~Pa7O%f8o@q["}uc::o:&:s:&:S:S +%%%9;|;}ia{%$fL]RQJGfwVv[!EZ-8 Gkx;CCZC_CbC_CwCw +000=TB7u8P_>m@IBCFe074ZC EEeE E\EfEU=ffU.Ef +WWW>TXTbM-XWo=5G\f/I=:D x`;)B0VK`LGuu)u5ucu5uLuL +ttt^{J{DH"Htq+*L8!@F+y` Myzp%n!XymOHHpHoWWTWZWdWZWa&_JZqtt{tlt/tltRtR +HHH~pyp4 ~BHOARoYq+dAbdMX|>3b]k1|z^))3);)8);)K)K +888AK"K[-A<8(`v .Gy `N]t,Q[4b8G/t!%``4`!`Y`!`S`S +KKKg6!6L1g!KdP lQ|[~Pa7O%f8o@q["OSc::o:&:s:&:S:S +%%%9;|;}i9i%$fL]RQJGfwVv[!EZ-8 Gvk;CCZC_CbC_CwCw +000=TB7u8P_>m@IBCFe074ZIC EEeE E\E EfEf +WWW>TXTbM>-Wo=5G\f/I=:D x`;)B0VK Guu)u5ucu5uLuL +ttt^{J{DH^^tq+*L8!@F+y` Myzp%n!X ;OHHpHa&_lJqtt{tlt/tltRtR +HHH~pyp4 : HOARoYq+dAbdBXK>3b]k1;M^))3);)8);)K)K +888AK"K[-@78(`v .Gy `N]U,S[4b8G/!U%``4`!`Y`!`S`S +KKKg6!6L1frKdP lQ|[~Pa7v%S8o@q["&#c::o:&:s:&:S:S +%%%9;|;}i~9%$fL]RQJGfwV][wEZ-8 G_,;CCZC_CbC_CwCw +000=TB7u8P_>m@NBfFe074Z % EEeE E\E EfEf +WWW>TXTbMc^Wo=5G\f/I=:DjxL;)B0VK5\Guu)u5ucu5uLuL +ttt^{J{DHGltq+*L8!@F+y`;MBzp%n!XBa&_R"qtt{tlt/tltRtR +HHH~pyp4 5~HOARoYq+dAbdBXK>3b]k1K@^))3);)8);)K)K +888AK"K[-l@8(`v .Gy `N]U,S[4b8G/S9%``4`!`Y`!`S`S +KKKg6!6L1eeKdP lQ|[~Pa7v%S8o@q["S c::o:&:s:&:S:S +%%%9;|;}:,,%$fL]RQJGfwV][wEZ-8 Gk_;CCZC_CbC_CwCw +000=TB7u8P_>m@NBfFe074ZUf EEeE E\E EfEf +WWW>TXTbRZ&Wo=5G\f/I=:DjxL;)B0VKwwGuu)u5ucu5uLuL +ttt^{J{D lJtq+*L8!@F+y`;MBzp%n!XmyOHHpHa&_NGqtt{tlt/tltRtR +HHH~pyp4;B3b]k1|;^))3);)8);)K)K +888AK"K[7^^8(`v .Gy `N]U,S[4b8G/QS%``4`!`Y`!`S`S +KKKg6!6L&zsKdP lQ|[~Pa7v%S8o@q["f}c::o:&:s:&:S:S +%%%9;|;}:{|%$fL]RQJGfwV][wEZ-8 G!!;CCZC_CbC_CwCw +000=TB7u8P_>m@NBfFe074ZCI EEeE E\E EfEf +WWW>TXTbRa&_HNqtt{tlt/tltRtR +HHH~pyp4;myHOARoYq+dAbdBXK>3b]k1M|^))3);)8);)K)K +888AK"K[7<-8(`v .Gy `N]U,S[4b8G/tt%``4`!`Y`!`S`S +KKKg6!6L&s&KdP lQ|[~Pa7v%S8o@q["Ovc::o:&:s:&:S:S +%%%9;|;}:Ca%$fL]RQJGfwV][wEZ-8 Gvg;CCZC_CbC_CwCw +000=TB7u8P_>m@NBfFe074ZId EEeE E\E EfEf +WWW>TXTbR&cWo=5G\f/I=:Djxw;)B0VK55Guu)u5ucu5uLuL +ttt^{J{D NGtq+*L8!@F+y`;Mmzp%n!Xa&_l^qtt{tlt/tltRtR +HHH~pyp4;yfHOARoYq+dAbdBXm>3b]k1;C^))3);)8);)K)K +888AK"K[7"A8(`v .Gy `N]U,=[4b8G/!;%``4`!`Y`!`S`S +KKKg6!6L&!fKdP lQ|[~Pa7v%}8o@q["S&c::o:&:s:&:S:S +%%%9;|;}:|(%$fL]RQJGfwV][kEZ-8 Gww;CCZC_CbC_CwCw +000=TB7u8P_>m@NBUFe074ZfU EEeE E\E EfEf +WWW>TXTbRMa&_Nlqtt{tlt/tltRtR +HHH~pyp4; 5HOARoYq+dAbdBXm>3b]k1mK^))3);)8);)K)K +888AK"K[77C8(`v .Gy `N]U,=[4b8G/==%``4`!`Y`!`S`S +KKKg6!6L&&zKdP lQ|[~Pa7v%}8o@q["}fc::o:&:s:&:S:S +%%%9;|;}::C%$fL]RQJGfwV][kEZ-8 Gkv;CCZC_CbC_CwCw +000=TB7u8P_>m@NBUFe074ZUN EEeE E\E EfEf +WWW>TXTbRRMWo=5G\f/I=:Djxw;)B0VKw Guu)u5ucu5uLuL +ttt^{J{D tq+*L8!@F+y`;Mmzp%n!Xm~OHHpHa&_JJqtt{tlt/tltRtR +HHH~pyp4;fmHOARoYq+dAbdBXm>3b]k1|M^))3);)8);)K)K +888AK"K[7R"8(`v .Gy `N]U,=[4b8G/QU%``4`!`Y`!`S`S +KKKg6!6L&r1KdP lQ|[~Pa7v%}8o@q["f#c::o:&:s:&:S:S +%%%9;|;}:a:%$fL]RQJGfwV][kEZ-8 G!,;CCZC_CbC_CwCw +000=TB7u8P_>m@NBUFe074ZC% EEeE E\E EfEf +WWW>TXTbR->Wo=5G\f/I=:Djxw;)B0VK`\Guu)u5ucu5uLuL +ttt^{J{D "Ztq+*L8!@F+y`;Mmzp%n!X a&_H"qtt{tlt/tltRtR +HHH~pyp4;~;HOARoYq+dAbdBXm>3b]k1M@^))3);)8)K)m:KK;Mz)K +888AK"K[7AR8(`v .Gy `N]U,Q[4b8G/!!%``4`!`Y`!`S`S +KKKg6!6L&ggKdP lQ|[~Pa7v%f8o@q["&Sc::o:&:s:&:S:S +%%%9;|;}:9~%$fL]RQJGfwV][!EZ-8 G_k;CCZC_CbC_CwCw +000=TB7u8P_>m@NBCFe074Z C EEeE E\E EfEf +WWW>TXTbRcZWo=5G\f/I=:Djx`;)B0VK5 Guu)u5ucu5uLuL +ttt^{J{D ZRtq+*L8!@F+y`;Myzp%n!X<;OHHpHa&_RRqtt{tlt/tltRtR +HHH~pyp4;::HOARoYq+dAbdBX|>3b]k1Km^))3);)8);)K)K +888AK"K[7@l8(`v .Gy `N]U,Q[4b8G/SQ%``4`!`Y`!`S`S +KKKg6!6L&e+KdP lQ|[~Pa7v%f8o@q["SOc::o:&:s:&:S:S +%%%9;|;}:({%$fL]RQJGfwV][!EZ-8 Gw];CCZC_CbC_CwCw +000=TB7u8P_>m@NBCFe074Zf. EEeE E\E EfEf +WWW>TXTbR^XWo=5G\f/I=:Djx`;)B0VKL&Guu)u5ucuLuwQw `L\uL +ttt^{J{D GHtq+*L8!@F+y`;Myzp%n!XB:OHHpHa&_NHqtt{tlt/tltRtR +HHH~pyp4f3b]k1mB^))3);)8);)K)K +888AK"K[RC<8(`v .Gy `N]U,Q[4b8G/=5%``4`!`Y`!`S`S +KKKg6!6Lr+!KdP lQ|[~Pa7v%f8o@q["}ic::o:&:s:&:S:S +%%%9;|;}a,i%$fL]RQJGfwV][!EZ-8 GkX;CCZC_CbC_CwCw +000=TB7u8P_>m@NBCFe074ZUa EEeE E\EfEU=INdICEf +WWW>TXTb-Z-Wo=5G\f/I=:Djx`;)B0VK`5Guu)u5ucu5uLuL +ttt^{J{D"l^tq+*L8!@F+y`;Myzp%n!XyBOHHpHa&_J^qtt{tlt/tltRtR +HHH~pyp4fB HOARoYq+dAbdBX|>3b]k1|C^))3);)8);)K)K +888AK"K[R^78(`v .Gy `N]U,Q[4b8G/Q;%``4`!`Y`!`S`S +KKKg6!6LrzrKdP lQ|[~Pa7v%f8o@q["O&c::o:&:s:&:S:S +%%%9;|;}a{9%$fL]RQJGfwV][!EZ-8 Gvw;CCZC_CbC_CwCw +000=TB7u8P_>m@NBCFe074ZIU EEeE E\E EfEf +WWW>TXTb-<^Wo=5G\f/I=:Djx`;)B0VK `Guu)u5ucu5uLuL +ttt^{J{D"Nltq+*L8!@F+y`;Myzp%n!X OHHpHa&_lNqtt{tlt/tltRtR +HHH~pyp4fm~HOARoYq+dAbdNXK>3b]k1;|^))3);)8);)K)K +888AK"K[R<@8(`v .Gy `N]5,S[4b8G/!t%``4`!`Y`!`S`S +KKKg6!6LrseKdP lQ|[~Pa7#%S8o@q["&vc::o:&:s:&:S:S +%%%9;|;}a|,%$fL]RQJGfwVg[wEZ-8 G_g;CCZC_CbC_CwCw +000=TB7u8P_>m@.BfFe074Z d EEeE E\E EfEf +WWW>TXTb-X&Wo=5G\f/I=:D xL;)B0VK5NGuu)u5ucu5uLuL +ttt^{J{D"JJtq+*L8!@F+y`fMBzp%n!X<5OHHpHa&_R qtt{tlt/tltRtR +HHH~pyp4f 3b]k1KN^))3);)8);)K)K +888AK"K[R-^8(`v .Gy `N]5,S[4b8G/ST%``4`!`Y`!`S`S +KKKg6!6Lr1sKdP lQ|[~Pa7#%S8o@q["Suc::o:&:s:&:S:S +%%%9;|;}ai|%$fL]RQJGfwVg[wEZ-8 Gwx;CCZC_CbC_CwCw +000=TB7u8P_>m@.BfFe074ZU EEeE E\E EfEf +WWW>TXTb-MRWo=5G\f/I=:D xL;)B0VKwLGuu)u5ucu5uLuL +ttt^{J{D"H"tq+*L8!@F+y`fMBzp%n!XmmOHHpHoWWTWZWdWZWa&_NZqtt{tlt/tltRtR +HHH~pyp4f;yHOARoYq+dAbdNXK>3b]k1mz^))3);)8);)K)K +888AK"K[R7-8(`v .Gy `N]5,S[4b8G/Q!%``4`!`Y`!`S`S +KKKg6!6Lr&&KdP lQ|[~Pa7#%S8o@q["fSc::o:&:s:&:S:S +%%%9;|;}a:a%$fL]RQJGfwVg[wEZ-8 G!k;CCZC_CbC_CwCw +000=TB7u8P_>m@.BfFe074ZCC EEeE E\E EfEf +WWW>TXTb-RcWo=5G\f/I=:D xL;)B0VK` Guu)u5ucu5uLuL +ttt^{J{D" Gtq+*L8!@F+y`fMBzp%n!Xy;OHHpHa&_HRqtt{tlt/tltRtR +HHH~pyp4fffHOARoYq+dAbdNXK>3b]k1Mm^))3);)8);)K)K +888AK"K[RRA8(`v .Gy `N]5,S[4b8G/tQ%``4`!`Y`!`S`S +KKKg6!6LrrfKdP lQ|[~Pa7#%S8o@q["OOc::o:&:s:&:S:S +%%%9;|;}aa(%$fL]RQJGfwVg[wEZ-8 Gv];CCZC_CbC_CwCw +000=TB7u8P_>m@.BfFe074ZI. EEeE E\E EfEf +WWW>TXTb->a&_l"qtt{tlt/tltRtR +HHH~pyp4f~5HOARoYq+dAbdNXm>3b]k1;@^))3);)8);)K)K +888AK"K[R@C8(`v .Gy `N]5,=[4b8G/!9%``4`!`Y`!`S`S +KKKg6!6LrfzKdP lQ|[~Pa7#%}8o@q["& c::o:&:s:&:S:S +%%%9;|;}a~C%$fL]RQJGfwVg[kEZ-8 Gw_;CCZC_CbC_CwCw +000=TB7u8P_>m@.BUFe074Zff EEeE E\E EfEf +WWW>TXTb-cMWo=5G\f/I=:D xw;)B0VKLwGuu)u5ucu5uLuL +ttt^{J{D"Z tq+*L8!@F+y`fMmzp%n!XByOHHpHa&_RGqtt{tlt/tltRtR +HHH~pyp4f5mHOARoYq+dAbdNXm>3b]k1m;^))3);)8);)K)K +888AK"K[Rl"8(`v .Gy `N]5,=[4b8G/=S%``4`!`Y`!`S`S +KKKg6!6Lre1KdP lQ|[~Pa7#%}8o@q["}}c::o:&:s:&:S:S +%%%9;|;}a(:%$fL]RQJGfwVg[kEZ-8 Gk!;CCZC_CbC_CwCw +000=TB7u8P_>m@.BUFe074ZUI EEeE E\E EfEf +WWW>TXTb-^>Wo=5G\f/I=:D xw;)B0VKwjGuu)u5ucu5uLuL +ttt^{J{D"GZtq+*L8!@F+y`fMmzp%n!XmfOHHpHa&_JNqtt{tlt/tltRtR +HHH~pyp4~<;HOARoYq+dAbdNXm>3b]k1||^))3);)8);)K)K +888AK"K[ACR8(`v .Gy `N]5,=[4b8G/Qt%``4`!`Y`!`S`S +KKKg6!6Lg+gKdP lQ|[~Pa7#%}8o@q["fvc::o:&:s:&:S:S +%%%9;|;}9,~%$fL]RQJGfwVg[kEZ-8 G!g;CCZC_CbC_CwCw +000=TB7u8P_>m@.BUFe074ZCd EEeE E\E EfEf +WWW>TXTb>a&_H qtt{tlt/tltRtR +HHH~pyp4~B:HOARoYq+dAbdNXm>3b]k1MN^))3);)8);)K)K +888AK"K[A^l8(`v .Gy `N]5,=[4b8G/tT%``4`!`Y`!`S`S +KKKg6!6Lgs+KdP lQ|[~Pa7#%f8o@q["&&c::o:&:s:&:S:S +%%%9;|;}9C{%$fL]RQJGfwVg[!EZ-8 G_w;CCZC_CbC_CwCw +000=TB7u8P_>m@.BCFe074Z U EEeE E\E EfEf +WWW>TXTb>&XWo=5G\f/I=:D x`;)B0VK5`Guu)u5ucu5uLuL +ttt^{J{D^NHtq+*L8!@F+y`fMyzp%n!X< OHHpHa&_Rlqtt{tlt/tltRtR +HHH~pyp4~yBHOARoYq+dAbdNX|>3b]k1KK^))3);)8);)K)K +888AK"K[A"<8(`v .Gy `N]5,Q[4b8G/S=%``4`!`Y`!`S`S +KKKg6!6Lg!!KdP lQ|[~Pa7#%f8o@q["Sfc::o:&:s:&:S:S +%%%9;|;}9|i%$fL]RQJGfwVg[!EZ-8 Gwv;CCZC_CbC_CwCw +000=TB7u8P_>m@.BCFe074ZfN EEeE E\E EfEf +WWW>TXTb>X-Wo=5G\f/I=:D x`;)B0VKL Guu)u5ucu5uLuL +ttt^{J{D^J^tq+*L8!@F+y`fMyzp%n!XB~OHHpHa&_NJqtt{tlt/tltRtR +HHH~pyp4~ HOARoYq+dAbdNX|>3b]k1mM^))3);)8);)K)K +888AK"K[A-78(`v .Gy `N]5,Q[4b8G/=U%``4`!`Y`!`S`S +KKKg6!6Lg1rKdP lQ|[~Pa7#%f8o@q["}#c::o:&:s:&:S:S +%%%9;|;}9i9%$fL]RQJGfwVg[!EZ-8 Gk,;CCZC_CbC_CwCw +000=TB7u8P_>m@.BCFe074ZU% EEeE E\E EfEf +WWW>TXTb>M^Wo=5G\f/I=:D x`;)B0VKw\Guu)u5ucu5uLuL +ttt^{J{D^ ltq+*L8!@F+y`fMyzp%n!Xya&_J"qtt{tlt/tltRtR +HHH~pyp4~;~HOARoYq+dAbdNX|>3b]k1|@^))3);)8);)K)K +888AK"K[A7@8(`v .Gy `N]5,Q[4b8G/Q9%``4`!`Y`!`S`S +KKKg6!6Lg&eKdP lQ|[~Pa7#%f8o@q["f c::o:&:s:&:S:S +%%%9;|;}9a,%$fL]RQJGfwVg[!EZ-8 Gv_;CCZC_CbC_CwCw +000=TB7u8P_>m@.BCFe074ZIf EEeE E\E EfEf +WWW>TXTb>-&Wo=5G\f/I=:D x`;)B0VK wGuu)u5ucu5uLuL +ttt^{J{D^"Jtq+*L8!@F+y`fMyzp%n!X yOHHpH3U]k1;m^))3);)8);)K)K +888AK-K["8(`v .Gy `N]S,=[4$8G/!Q%``4`!`Y`!`S`S +KKKg616L1KdP lQ|[~Pa7S%}8oDq["&Oc::o:&:s:&:S:S +%%%9;i;}:%$fL]RQJGfwVw[kEZA8 G_];CCZC_CbC_CwCw +000=TcT~]0|>B7u8P_>m@fBUFe,74Z . EEeE E\E EfEf +WWW>TMTb>Wo=5G\f/I=:DLxw;)=0VK5&Guu)u5ucu5uLuL +ttt^{H{DZtq+*L8!@F+y`BMmzpdn!X<:OHHpH3U]k1KB^))3);)8);)K)K +888AK-K[^R8(`v .Gy `N]S,=[4$8G/S5%``4`!`Y`!`S`S +KKKg616LzgKdP lQ|[~Pa7S%}8oDq["Sic::o:&:s:&:S:S +%%%9;i;}{~%$fL]RQJGfwVw[kEZA8 GwX;CCZC_CbC_CwCw +000=TcT~3p0|>B7u8P_>m@fBUFe,74Zfa EEeE E\E EfEf +WWW>TMTb&ZWo=5G\f/I=:DLxw;)=0VKw5Guu)u5ucu5uLuL +ttt^{H{DNRtq+*L8!@F+y`BMmzpdn!XmBOHHpH3U]k1mC^))3);)8);)K)K +888AK-K[B7u8P_>m@fBUFe,74ZCU EEeE E\E EfEf +WWW>TMTbXXWo=5G\f/I=:DLxw;)=0VK``Guu)u5ucu5uLuL +ttt^{H{DJHtq+*L8!@F+y`BMmzpdn!Xy OHHpH3U]k1MK^))3);)8);)K)K +888AK-K[-<8(`v .Gy `N]S,=[4$8G/t=%``4`!`Y`!`S`S +KKKg616L1!KdP lQ|[~Pa7S%}8oDq["Ofc::o:&:s:&:S:S +%%%9;i;}ii%$fL]RQJGfwVw[kEZA8 Gvv;CCZC_CbC_CwCw +000=TcT~cw0|>B7u8P_>m@fBUFe,74ZIN EEeE E\E EfEf +WWW>TMTbM-Wo=5G\f/I=:DLxw;)=0VK Guu)u5ucu5uLuL +ttt^{H{DH^tq+*L8!@F+y`BMmzpdn!X ~OHHpH3U]k1BM^))3);)8);)K)K +888AK-K[778(`v .Gy `N]S,=[4$8G/UU%``4`!`Y`!`S`S +KKKg616L&rKdP lQ|[~Pa7S%}8oDq["v#c::o:&:s:&:S:S +%%%9;i;}:9%$fL]RQJGfwVw[kEZA8 G],;CCZC_CbC_CwCw +000=TcT~wi0|>B7u8P_>m@fBUFe,74ZN% EEeE E\E EfEf +WWW>TMTbR^Wo=5G\f/I=:DLxw;)=0VKj\Guu)u5ucu5uLuL +ttt^{H{D"ltq+*L8!@F+y`BMmzpdn!Xf3U]k1;|^))3);)8);)K)K +888AK-K[R@8(`v .Gy `N]S,Q[4$8G/!t%``4`!`Y`!`S`S +KKKg616LreKdP lQ|[~Pa7S%f8oDq["&vc::o:&:s:&:S:S +%%%9;i;}9,%$fL]RQJGfwVw[!EZA8 G_g;CCZC_CbC_CwCw +000=TcT~=30|>B7u8P_>m@fBCFe,74Z d EEeE E\E EfEf +WWW>TMTb>&Wo=5G\f/I=:DLx`;)=0VK5NGuu)u5ucu5uLuL +ttt^{H{D^Jtq+*L8!@F+y`BMyzpdn!X<5OHHpH3U]k1KN^))3);)8);)K)K +888AK-K[@^8(`v .Gy `N]S,Q[4$8G/ST%``4`!`Y`!`S`S +KKKg616LfsKdP lQ|[~Pa7S%f8oDq["Suc::o:&:s:&:S:S +%%%9;i;}~|%$fL]RQJGfwVw[!EZA8 Gwx;CCZC_CbC_CwCw +000=TcT~ic0|>B7u8P_>m@fBCFe,74ZU EEeE E\E EfEf +WWW>TMTbcRWo=5G\f/I=:DLx`;)=0VKwLGuu)u5ucu5uLuL +ttt^{H{DZ"tq+*L8!@F+y`BMyzpdn!XmmOHHpHoWWTWZWdWZW3U]k1mz^))3);)8);)K)K +888AK-K[l-8(`v .Gy `N]S,Q[4$8G/Q!%``4`!`Y`!`S`S +KKKg616Le&KdP lQ|[~Pa7S%f8oDq["fSc::o:&:s:&:S:S +%%%9;i;}(a%$fL]RQJGfwVw[!EZA8 G!k;CCZC_CbC_CwCw +000=TcT~p=0|>B7u8P_>m@fBCFe,74ZCC EEeE E\E EfEf +WWW>TMTb^cWo=5G\f/I=:DLx`;)=0VK` Guu)u5ucu5uLuL +ttt^{H{DGGtq+*L8!@F+y`BMyzpdn!Xy;OHHpH3U]k1Mm^))3);)8);)K)K +888AK-K[^CA8(`v .Gy `N]S,Q[4$8G/tQ%``4`!`Y`!`S`S +KKKg616Lz+fKdP lQ|[~Pa7S%f8oDq["OOc::o:&:s:&:S:S +%%%9;i;}{,(%$fL]RQJGfwVw[!EZA8 Gv];CCZC_CbC_CwCw +000=TcT~33g0|>B7u8P_>m@fBCFe,74ZI. EEeE E\E EfEf +WWW>TMTb<<3U]k1BB^))3);)8);)K)K +888AK-K[^B7u8P_>m@fBCFe,74ZNa EEeE E\E EfEf +WWW>TMTb<&MWo=5G\f/I=:DLx`;)=0VK 5Guu)u5ucu5uLuL +ttt^{H{DRN tq+*L8!@F+y`BMyzpdn!XfBOHHpH3U]k1;M^))3);)8);)K)K +888AK-K[^""8(`v .Gy `N]=,=[4$8G/!U%``4`!`Y`!`S`S +KKKg616Lz!1KdP lQ|[~Pa7}%}8oDq["&#c::o:&:s:&:S:S +%%%9;i;}{|:%$fL]RQJGfwVk[kEZA8 G_,;CCZC_CbC_CwCw +000=TcT~3<]0|>B7u8P_>m@UBUFe,74Z % EEeE E\E EfEf +WWW>TMTbWo=5G\f/I=:Dwxw;)=0VK5\Guu)u5ucu5uLuL +ttt^{H{DRJZtq+*L8!@F+y`mMmzpdn!XB3U]k1K@^))3);)8);)K)K +888AK-K[^-R8(`v .Gy `N]=,=[4$8G/S9%``4`!`Y`!`S`S +KKKg616Lz1gKdP lQ|[~Pa7}%}8oDq["S c::o:&:s:&:S:S +%%%9;i;}{i~%$fL]RQJGfwVk[kEZA8 Gk_;CCZC_CbC_CwCw +000=TcT~3cp0|>B7u8P_>m@UBUFe,74ZUf EEeE E\E EfEf +WWW>TMTb3U]k1|;^))3);)8);)K)K +888AK-K[^7l8(`v .Gy `N]=,=[4$8G/QS%``4`!`Y`!`S`S +KKKg616Lzr+KdP lQ|[~Pa7}%}8oDq["f}c::o:&:s:&:S:S +%%%9;i;}{a{%$fL]RQJGfwVk[kEZA8 G!!;CCZC_CbC_CwCw +000=TcT~3]n0|>B7u8P_>m@UBUFe,74ZCI EEeE E\E EfEf +WWW>TMTb<-XWo=5G\f/I=:Dwxw;)=0VK`jGuu)u5ucu5uLuL +ttt^{H{DR"Htq+*L8!@F+y`mMmzpdn!XyfOHHpH3U]k1M|^))3);)8);)K)K +888AK-K[^A<8(`v .Gy `N]=,=[4$8G/tt%``4`!`Y`!`S`S +KKKg616Lzg!KdP lQ|[~Pa7}%}8oDq["Ovc::o:&:s:&:S:S +%%%9;i;}{9i%$fL]RQJGfwVk[kEZA8 Gvg;CCZC_CbC_CwCw +000=TcT~3=w0|>B7u8P_>m@UBUFe,74ZId EEeE E\E EfEf +WWW>TMTb<>-Wo=5G\f/I=:Dwxw;)=0VK NGuu)u5ucu5uLuL +ttt^{H{DR^^tq+*L8!@F+y`mMmzpdn!X 5OHHpH3U]k1BN^))3);)8);)K)K +888AK-K[^@78(`v .Gy `N]=,=[4$8G/UT%``4`!`Y`!`S`S +KKKg616LzfrKdP lQ|[~Pa7}%}8oDq["vuc::o:&:s:&:S:S +%%%9;i;}{~9%$fL]RQJGfwVk[kEZA8 G]x;CCZC_CbC_CwCw +000=TcT~3ii0|>B7u8P_>m@UBUFe,74Z. EEeE E\E EfEf +WWW>TMTb3U]k1;B^))3);)8);)K)K +888AK-K[^l@8(`v .Gy `N]=,Q[4$8G/!5%``4`!`Y`!`S`S +KKKg616LzeeKdP lQ|[~Pa7}%f8oDq["&ic::o:&:s:&:S:S +%%%9;i;}C,,%$fL]RQJGfwVk[!EZA8 G_X;CCZC_CbC_CwCw +000=TcT~ng30|>B7u8P_>m@UBCFe,74Z a EEeE E\E EfEf +WWW>TMTb&Z&Wo=5G\f/I=:Dwx`;)=0VKL5Guu)u5ucu5uLuL +ttt^{H{DNlJtq+*L8!@F+y`mMyzpdn!XBBOHHpH3U]k1KC^))3);)8);)K)K +888AK-K[<^^8(`v .Gy `N]=,Q[4$8G/S;%``4`!`Y`!`S`S +KKKg616LszsKdP lQ|[~Pa7}%f8oDq["}&c::o:&:s:&:S:S +%%%9;i;}C{|%$fL]RQJGfwVk[!EZA8 Gkw;CCZC_CbC_CwCw +000=TcT~n3c0|>B7u8P_>m@UBCFe,74ZUU EEeE E\E EfEf +WWW>TMTb&3U]k1|K^))3);)8);)K)K +888AK-K[<<-8(`v .Gy `N]=,Q[4$8G/Q=%``4`!`Y`!`S`S +KKKg616Lss&KdP lQ|[~Pa7}%f8oDq["ffc::o:&:s:&:S:S +%%%9;i;}CCa%$fL]RQJGfwVk[!EZA8 G!v;CCZC_CbC_CwCw +000=TcT~nn=0|>B7u8P_>m@UBCFe,74ZCN EEeE E\E EfEf +WWW>TMTb&&cWo=5G\f/I=:Dwx`;)=0VK` Guu)u5ucu5uLuL +ttt^{H{DNNGtq+*L8!@F+y`mMyzpdn!Xy~OHHpH3U]k1MM^))3);)8);)K)K +888AK-K[<"A8(`v .Gy `N]=,Q[4$8G/tU%``4`!`Y`!`S`S +KKKg616Ls!fKdP lQ|[~Pa7}%f8oDq["O#c::o:&:s:&:S:S +%%%9;i;}C|(%$fL]RQJGfwVk[!EZA8 Gv,;CCZC_CbC_CwCw +000=TcT~ncg0|>B7u8P_>m@UBCFe,74ZI% EEeE E\E EfEf +WWW>TMTb&M3U]k1B@^))3);)8);)K)K +888AK-K[<7C8(`v .Gy `N]=,Q[4$8G/U9%``4`!`Y`!`S`S +KKKg616Ls&zKdP lQ|[~Pa7}%f8oDq["v c::o:&:s:&:S:S +%%%9;i;}C:C%$fL]RQJGfwVk[!EZA8 Gg_;CCZC_CbC_CwCw +000=TcT~nw<0|>B7u8P_>m@UBCFe,74Z.f EEeE E\E EfEf +WWW>TMTb&RMWo=5G\f/I=:Dwx`;)=0VK wGuu)u5ucu5uLuL +ttt^{H{DN tq+*L8!@F+y`mMyzpdn!XfyOHHpH3U]k1;N^))3);)8);)K)K +888AK-K[B7u8P_>m@CBfFe,74Zf EEeEa.E\EfEU= NUEf +WWW>TMTb&->Wo=5G\f/I=:D`xL;)=0VKLLGuu)u\ ucuLuwQ5N L&uL +ttt^{H{DN"Ztq+*L8!@F+y`yMBzpdn!XBmOHHpHoWWTWZWdWZW3U]k1Kz^))3);)8);)K)K +888AK-K[B7u8P_>m@CBfFe,74ZUC EEeE E\E EfEf +WWW>TMTb&cZWo=5G\f/I=:D`xL;)=0VKw Guu)u5ucu5uLuL +ttt^{H{DNZRtq+*L8!@F+y`yMBzpdn!Xm;OHHpH3U]k1|m^))3);)8);)K)K +888AK-K[<@l8(`v .Gy `N]Q,S[4$8G/QQ%``4`!`Y`!`S`S +KKKg616Lse+KdP lQ|[~Pa7f%S8oDq["fOc::o:&:s:&:S:S +%%%9;i;}C({%$fL]RQJGfwV![wEZA8 G!];CCZC_CbC_CwCw +000=TcT~npn0|>B7u8P_>m@CBfFe,74ZC. EEeE E\E EfEf +WWW>TMTb&^XWo=5G\f/I=:D`xL;)=0VK`&Guu)u5ucu5uLuL +ttt^{H{DNGHtq+*L8!@F+y`yMBzpdn!Xy:OHHpH3U]k1MB^))3);)8);)K)K +888AK-K["C<8(`v .Gy `N]Q,S[4$8G/t5%``4`!`Y`!`S`S +KKKg616L!+!KdP lQ|[~Pa7f%S8oDq["Oic::o:&:s:&:S:S +%%%9;i;}|,i%$fL]RQJGfwV![wEZA8 GvX;CCZC_CbC_CwCw +000=TcT~B7u8P_>m@CBfFe,74ZIa EEeE E\E EfEf +WWW>TMTbXZ-Wo=5G\f/I=:D`xL;)=0VKj5Guu)u5ucu5uLuL +ttt^{H{DJl^tq+*L8!@F+y`yMBzpdn!X;BOHHpH3U]k1BC^))3);)8);)K)K +888AK-K["^78(`v .Gy `N]Q,S[4$8G/U;%``4`!`Y`!`S`S +KKKg616L!zrKdP lQ|[~Pa7f%S8oDq["#&c::o: #:s:&:S:S +%%%9;i;}|{9%$fL]RQJGfwV![wEZA8 Ggw;CCZCxgCbCwCkK_!kgwCw +000=TcT~<3i0|>B7u8P_>m@CBfFe,74Z.U EEeEa.E\EfEU= Ca.dEf +WWW>TMTbX<^Wo=5G\f/I=:D`xL;)=0VK `Guu)u\ ucuLuwQ5L`&juL +ttt^{H{DJNltq+*L8!@F+y`yMmzpdn!X<3U]k1;@^))3);)8);)K)K +888AK-K["<@8(`v .Gy `N]Q,=[4$8G/!9%``4`;5`Y`!`S`S +KKKg616L!seKdP lQ|[~Pa7f%}8oDq["& c::o: #:s:&:S:S +%%%9;i;}||,%$fL]RQJGfwV![kEZA8 Gw_;CCZCxgCbCwCkK!v,Cw +000=TcT~<<30|>B7u8P_>m@CBUFe,74Zff EEeEa.E\E EfEf +WWW>TMTbXX&Wo=5G\f/I=:D`xw;)=0VKLwGuu)u\ ucuLuwQ5\ w`uL +ttt^{H{DJJJtq+*L8!@F+y`yMmzpdn!XByOHHpH5fHGH3U]k1m;^))3)zN)8);)K)K +888AK-K["-^8(`v .Gy `N]Q,=[4$8G/=S%``4`;5`Y`S`=VQTQQU`S +KKKg616L!1sKdP lQ|[~Pa7f%}8oDq["}}c::o: #:s:S:}.Oi }u:S +%%%9;i;}|i|%$fL]RQJGfwV![kEZA8 Gk!;CCZCxgCbCwCkKvXkv,Ck +000=TcT~B7u8P_>m@CBUFe,74ZUI EEeEa.E\E EfEf +WWW>TMTbXMRWo=5G\f/I=:D`xw;)=0VKwjGuu)u\ ucu5uLuL +ttt^{H{DJH"tq+*L8!@F+y`yMmzpdn!XmfOHHpH5fHGH3U]k1||^))3);)8);)K)K +888AK-K["7-8(`v .Gy `N]Q,=[4$8G/Qt%``4`!`Y`!`S`S +KKKg616L!&&KdP lQ|[~Pa7f%}8oDq["fvc::o:&:s:&:S:S +%%%9;i;}|:a%$fL]RQJGfwV![kEZA8 G!g;CCZC_CbC_CwCw +000=TcT~B7u8P_>m@CBUFe,74ZCd EEeE E\E EfEf +WWW>TMTbXRcWo=5G\f/I=:D`xw;)=0VK`NGuu)u5ucu5uLuL +ttt^{H{DJ Gtq+*L8!@F+y`yMmzpdn!Xy5OHHpH3U]k1MN^))3);)8);)K)K +888AK-K["RA8(`v .Gy `N]Q,=[4$8G/tT%``4`!`Y`!`S`S +KKKg616L!rfKdP lQ|[~Pa7f%}8oDq["Ouc::o:&:s:&:S:S +%%%9;i;}|a(%$fL]RQJGfwV![kEZA8 Gvx;CCZC_CbC_CwCw +000=TcT~<=g0|>B7u8P_>m@CBUFe,74ZN EEeE E\E EfEf +WWW>TMTbX>oWWTWZWdWZW3U]k1Bz^))3);)8);)K)K +888AK-K["@C8(`v .Gy `N]Q,=[4$8G/5!%``4`!`Y`!`S`S +KKKg616L!fzKdP lQ|[~Pa7f%}8oDq["#Sc::o:&:s:&:S:S +%%%9;i;}|~C%$fL]RQJGfwV![kEZA8 Ggk;CCZC_CbC_CwCw +000=TcT~B7u8P_>m@CBUFe,74Z.C EEeE E\E EfEf +WWW>TMTbXcMWo=5G\f/I=:D`x`;)=0VK55Guu)u5ucu5uLuL +ttt^{H{DJZ tq+*L8!@F+y`yMyzpdn!X3U]k1;C^))3)zN)8)K)m:KBMz|)K +888AK-K["l"8(`v .Gy `N]Q,Q[4$8G/!;%``4`;5`Y`!`S`S +KKKg616L!e1KdP lQ|[~Pa7f%f8oDq["S&c::o: #:s:&:S:S +%%%9;i;}|(:%$fL]RQJGfwV![!EZA8 Gww;CCZCxgCbC_CwCw +000=TcT~B7u8P_>m@CBCFe,74ZfU EEeEa.E\E EfEf +WWW>TMTbX^>Wo=5G\f/I=:D`x`;)=0VKL`Guu)u\ ucu5uLuL +ttt^{H{DJGZtq+*L8!@F+y`yMyzpdn!XB OHHpH5fHGHBHmP mf3U]k1mK^))3)zN)8);)K)K +888AK-K[-CR8(`v .Gy `N]Q,Q[4$8G/==%``4`;5`Y`!`S`S +KKKg616L1+gKdP lQ|[~Pa7f%f8oDq["}fc::o: #:s:S:}.OO vS:S +%%%9;i;}i,~%$fL]RQJGfwV![!EZA8 Gkv;CCZCxgCbC_CwCw +000=TcT~cgp0|>B7u8P_>m@CBCFe,74ZUN EEeEa.E\E EfEf +WWW>TMTbM3U]k1|M^))3)zN)8);)K)K +888AK-K[-^l8(`v .Gy `N]Q,Q[4$8G/QU%``4`;5`Y`S`=VQ!;=;`S +KKKg616L1s+KdP lQ|[~Pa7f%f8oDq["f#c::o: #:s:&:S:S +%%%9;i;}iC{%$fL]RQJGfwV![!EZA8 G!,;CCZCxgCbC_CwCw +000=TcT~cnn0|>B7u8P_>m@CBCFe,74ZC% EEeEa.E\EfEU= f.afEf +WWW>TMTbM&XWo=5G\f/I=:D`x`;)=0VK`\Guu)u\ ucu5uLuL +ttt^{H{DHNHtq+*L8!@F+y`yMyzpdn!X 3U]k1M@^))3)zN)8)K)m:|M@C|)K +888AK-K[-"<8(`v .Gy `N]Q,Q[4$8G/t9%``4`;5`Y`S`=V=QQTT`S +KKKg616L1!!KdP lQ|[~Pa7f%f8oDq["O c::o: #:s:&:S:S +%%%9;i;}i|i%$fL]RQJGfwV![!EZA8 G]_;CCZCxgCbC_CwCw +000=TcT~cB7u8P_>m@CBCFe,74ZNf EEeEa.E\E EfEf +WWW>TMTbMX-Wo=5G\f/I=:D`x`;)=0VKjwGuu)u\ ucu5uLuL +ttt^{H{DHJ^tq+*L8!@F+y`yMyzpdn!X;yOHHpH5fHGH3U]k1N;^))3)zN)8);)K)K +888AK-K[--78(`v .Gy `N]Q,Q[4$8G/5S%``4`;5`Y`!`S`S +KKKg616L11rKdP lQ|[~Pa7f%f8oDq["#}c::o: #:s:&:S:S +%%%9;i;}ii9%$fL]RQJGfwV![!EZA8 Gg!;CCZCxgCbCwCkKkwkXwCw +000=TcT~cci0|>B7u8P_>m@IBfFe,74Z EEeE E\E EfEf +WWW>TMTbMM^Wo=5G\f/I=:D xL;)=0VK5LGuu)u5ucu5uLuL +ttt^{H{DH ltq+*L8!@F+y` MBzpdn!XoWWTWZWdWZW3U]k1;z^))3);)8);)K)K +888AK-K[-7@8(`v .Gy `N]t,S[4$8G/S!%``4`!`Y`!`S`S +KKKg616L1&eKdP lQ|[~Pa7O%S8oDq["SSc::o:&:s:&:S:S +%%%9;i;}ia,%$fL]RQJGfwVv[wEZA8 Gwk;CCZC_CbC_CwCw +000=TcT~c]30|>B7u8P_>m@IBfFe,74ZfC EEeE E\E EfEf +WWW>TMTbM-&Wo=5G\f/I=:D xL;)=0VKL Guu)u5ucu5uLuL +ttt^{H{DH"Jtq+*L8!@F+y` MBzpdn!XB;OHHpH3U]k1mm^))3);)8);)K)K +888AK-K[-A^8(`v .Gy `N]t,S[4$8G/=Q%``4`!`Y`!`S`S +KKKg616L1gsKdP lQ|[~Pa7O%S8oDq["}Oc::o:&:s:&:S:S +%%%9;i;}i9|%$fL]RQJGfwVv[wEZA8 Gk];CCZC_CbC_CwCw +000=TcT~c=c0|>B7u8P_>m@IBfFe,74ZU. EEeE E\E EfEf +WWW>TMTbM>RWo=5G\f/I=:D xL;)=0VKw&Guu)u5ucu5uLuL +ttt^{H{DH^"tq+*L8!@F+y` MBzpdn!Xm:OHHpH5fHGHBHmPy<;~;HB +)))@3M3'M@@)^f?{lqPUfh5-I^1K %b!W< +uuu&) )[ NwuG$Xqvgd=$EkHmRV{Fa&_JHqtt{tG"t/tRtNsR ZlRtR +HHH~p p4 :yHOARoYq+dAbdMXK>3U]k1|B^))3)zN)8)K)m:;KB@m)K +888AK-K[-@-8(`v .Gy `N]t,S[4$8G/Q5%``4`!`Y`!`S`S +KKKg616L1f&KdP lQ|[~Pa7O%S8oDq["fic::o:&:s:&:S:S +%%%9;i;}i~a%$fL]RQJGfwVv[wEZA8 G!X;CCZC_CbC_CwCw +000=TcT~ci=0|>B7u8P_>m@IBfFe,74ZCa EEeE E\E EfEf +WWW>TMTbMccWo=5G\f/I=:D xL;)=0VK 5Guu)u5ucu5uLuL +ttt^{H{DHZGtq+*L8!@F+y` MBzpdn!X BOHHpH3U]k1MC^))3);)8);)K)K +888AK-K[-lA8(`v .Gy `N]t,S[4$8G/t;%``4`!`Y`!`S`S +KKKg616L1efKdP lQ|[~Pa7O%S8oDq["v&c::o:&:s:&:S:S +%%%9;i;}i((%$fL]RQJGfwVv[wEZA8 G]w;CCZC_CbC_CwCw +000=TcT~wgg0|>B7u8P_>m@IBfFe,74ZNU EEeE E\E EfEf +WWW>TMTbRZ3U]k1NK^))3)zN)8)K)m:;z@@C)K +888AK-K[7^C8(`v .Gy `N]t,S[4$8G/5=%``4`;5`Y`S`=VQU;TU`S +KKKg616L&zzKdP lQ|[~Pa7O%S8oDq["#fc::o: #:s:S:}.}&Oi :S +%%%9;i;}:{C%$fL]RQJGfwVv[kEZA8 G__;CCZC_CbC_CwCw +000=TcT~w3<0|>B7u8P_>m@IBUFe,74Z f EEeE E\E EfEf +WWW>TMTbR3U]k1K;^))3);)8);)K)K +888AK-K[7<"8(`v .Gy `N]t,=[4$8G/SS%``4`!`Y`!`S`S +KKKg616L&s1KdP lQ|[~Pa7O%}8oDq["S}c::o:&:s:&:S:S +%%%9;i;}:C:%$fL]RQJGfwVv[kEZA8 Gw!;CCZC_CbC_CwCw +000=TcT~wn]0|>B7u8P_>m@IBUFe,74ZfI EEeE E\E EfEf +WWW>TMTbR&>Wo=5G\f/I=:D xw;)=0VKLjGuu)u5ucu5uLuL +ttt^{H{D NZtq+*L8!@F+y` Mmzpdn!XBfOHHpH3U]k1m|^))3);)8);)K)K +888AK-K[7"R8(`v .Gy `N]t,=[4$8G/=t%``4`;5`Y`S`=V!!UT=`S +KKKg616L&!gKdP lQ|[~Pa7O%}8oDq["}vc::o: #:s:S:}.&i&Oi:S +%%%9;i;}:|~%$fL]RQJGfwVv[kEZA8 Gkg;CCZCxgCbCwCkKw!!X,Cw +000=TcT~wB7u8P_>m@IBUFe,74ZUd EEeEa.E\EfEU= a.Ef +WWW>TMTbRMZWo=5G\f/I=:D xw;)=0VKwNGuu)u\ ucuLuwQLN j&uL +ttt^{H{D HRtq+*L8!@F+y` Mmzpdn!Xm5OHHpH5fHGHBHmPB :;HB +)))@3M3'BMm)^f?{lqPUfh5-I<1K %b!"C(88K8lR8s8^83U]k1|N^))3);)8);)K)K +888AK-K[7-l8(`v .Gy `N]t,=[4$8G/QT%``4`!`Y`!`S`S +KKKg616L&&+KdP lQ|[~Pa7O%}8oDq["fuc::o:&:s:&:S:S +%%%9;i;}::{%$fL]RQJGfwVv[kEZA8 G!x;CCZC_CbC_CwCw +000=TcT~wwn0|>B7u8P_>m@IBUFe,74ZI EEeE E\E EfEf +WWW>TMTbRRXWo=5G\f/I=:D xw;)=0VK LGuu)u5ucu5uLuL +ttt^{H{D Htq+*L8!@F+y` Mmzpdn!X mOHHpHoWWTWZWdWZW3U]k1Mz^))3);)8);)K)K +888AK-K[7R<8(`v .Gy `N]t,=[4$8G/U!%``4`!`Y`!`S`S +KKKg616L&r!KdP lQ|[~Pa7O%}8oDq["vSc::o:&:s:&:S:S +%%%9;i;}:ai%$fL]RQJGfwVv[kEZA8 G]k;CCZC_CbC_CwCw +000=TcT~w]w0|>B7u8P_>m@IBUFe,74ZNC EEeE E\E EfEf +WWW>TMTbR--Wo=5G\f/I=:D xw;)=0VKj Guu)u5ucu5uLuL +ttt^{H{D "^tq+*L8!@F+y` Mmzpdn!X;;OHHpH3U]k1Nm^))3)zN)8)K)m:mzmMN)K +888AK-K[7A78(`v .Gy `N]t,=[4$8G/5Q%``4`;5`Y`S`=V=t;Qt`S +KKKg616L&grKdP lQ|[~Pa7O%f8oDq["&&c::o: #:s:&:S:S +%%%9;i;}:99%$fL]RQJGfwVv[!EZA8 G_w;CCZCxgCbC_CwCw +000=TcT~w=i0|>B7u8P_>m@IBCFe,74Z U EEeEa.E\E EfEf +WWW>TMTbR>^Wo=5G\f/I=:D x`;)=0VK5`Guu)u\ ucuLuwQL5\ NuL +ttt^{H{D Zltq+*L8!@F+y` Myzpdn!X< OHHpH3U]k1KK^))3);)8);)K)K +888AK-K[7@@8(`v .Gy `N]t,Q[4$8G/S=%``4`!`Y`!`S`S +KKKg616L&feKdP lQ|[~Pa7O%f8oDq["Sfc::o:&:s:&:S:S +%%%9;i;}:(,%$fL]RQJGfwVv[!EZA8 Gwv;CCZC_CbC_CwCw +000=TcT~wp30|>B7u8P_>m@IBCFe,74ZfN EEeE E\E EfEf +WWW>TMTbR^&Wo=5G\f/I=:D x`;)=0VKL Guu)u5ucu5uLuL +ttt^{H{D GJtq+*L8!@F+y` Myzpdn!XB~OHHpH3U]k1mM^))3)zN)8);)K)K +888AK-K[RC^8(`v .Gy `N]t,Q[4$8G/=U%``4`;5`Y`!`S`S +KKKg616Lr+sKdP lQ|[~Pa7O%f8oDq["}#c::o: #:s:S:}.OfOO :S +%%%9;i;}a,|%$fL]RQJGfwVv[!EZA8 Gk,;CCZCxgCbC_CwCw +000=TcT~]gc0|>B7u8P_>m@IBCFe,74ZU% EEeE E\E EfEf +WWW>TMTb-ZRWo=5G\f/I=:D x`;)=0VKw\Guu)u5ucu5uLuL +ttt^{H{D"l"tq+*L8!@F+y` Myzpdn!Xy3U]k1|@^))3);)8);)K)K +888AK-K[R^-8(`v .Gy `N]t,Q[4$8G/Q9%``4`!`Y`!`S`S +KKKg616Lrz&KdP lQ|[~Pa7O%f8oDq["f c::o:&:s:&:S:S +%%%9;i;}a{a%$fL]RQJGfwVv[!EZA8 Gv_;CCZCxgCbC_CwCw +000=TcT~]3=0|>B7u8P_>m@IBCFe,74ZIf EEeEa.E\E EfEf +WWW>TMTb-3U]k1B;^))3);)8);)K)K +888AK-K[RB7u8P_>m@IBCFe,74ZNI EEeE E\E EfEf +WWW>TMTb-X3U]k1N|^))3)zN)8)K)m:||mNM)K +888AK-K[R-C8(`v .Gy `N]U,S[4$8G/!!%``4`!`Y`!`S`S +KKKg616Lr1zKdP lQ|[~Pa7v%S8oDq["&Sc::o:&:s:&:S:S +%%%9;i;}aiC%$fL]RQJGfwV][wEZA8 G_k;CCZC_CbC_CwCw +000=TcT~]c<0|>B7u8P_>m@NBfFe,74Z C EEeE E\E EfEf +WWW>TMTb-MMWo=5G\f/I=:DjxL;)=0VK5 Guu)u5ucu5uLuL +ttt^{H{D"H tq+*L8!@F+y`;MBzpdn!X<;OHHpH3U]k1Km^))3);)8);)K)K +888AK-K[R7"8(`v .Gy `N]U,S[4$8G/SQ%``4`!`Y`!`S`S +KKKg616Lr&1KdP lQ|[~Pa7v%S8oDq["SOc::o:&:s:&:S:S +%%%9;i;}a::%$fL]RQJGfwV][wEZA8 Gw];CCZC_CbC_CwCw +000=TcT~]w]0|>B7u8P_>m@NBfFe,74Zf. EEeE E\E EfEf +WWW>TMTb-R>Wo=5G\f/I=:DjxL;)=0VKL&Guu)u5ucu5uLuL +ttt^{H{D" Ztq+*L8!@F+y`;MBzpdn!XB:OHHpH3U]k1mB^))3);)8);)K)K +888AK-K[RRR8(`v .Gy `N]U,S[4$8G/=5%``4`!`Y`!`S`S +KKKg616LrrgKdP lQ|[~Pa7v%S8oDq["}ic::o:&:s:&:S:S +%%%9;i;}aa~%$fL]RQJGfwV][wEZA8 GkX;CCZC_CbC_CwCw +000=TcT~]]p0|>B7u8P_>m@NBfFe,74ZUa EEeE E\E EfEf +WWW>TMTb->ZWo=5G\f/I=:DjxL;)=0VK`5Guu)u5ucu5uLuL +ttt^{H{D"^Rtq+*L8!@F+y`;MBzpdn!XyBOHHpH3U]k1|C^))3);)8);)K)K +888AK-K[RAl8(`v .Gy `N]U,S[4$8G/Q;%``4`!`Y`!`S`S +KKKg616Lrf+KdP lQ|[~Pa7v%S8oDq["O&c::o:&:s:&:S:S +%%%9;i;}a~{%$fL]RQJGfwV][wEZA8 Gvw;CCZC_CbC_CwCw +000=TcT~]in0|>B7u8P_>m@NBfFe,74ZIU EEeE E\E EfEf +WWW>TMTb-cXWo=5G\f/I=:DjxL;)=0VK `Guu)u5ucu5uLuL +ttt^{H{D"ZHtq+*L8!@F+y`;MBzpdn!X OHHpH3U]k1BK^))3);)8);)K)K +888AK-K[Rl<8(`v .Gy `N]U,S[4$8G/U=%``4`!`Y`!`S`S +KKKg616Lre!KdP lQ|[~Pa7v%S8oDq["vfc::o:&:s:&:S:S +%%%9;i;}a(i%$fL]RQJGfwV][wEZA8 G]v;CCZC_CbC_CwCw +000=TcT~]pw0|>B7u8P_>m@NBfFe,74ZNN EEeE E\E EfEf +WWW>TMTb-^-Wo=5G\f/I=:DjxL;)=0VKj Guu)u5ucu5uLuL +ttt^{H{D"G^tq+*L8!@F+y`;MBzpdn!X;~OHHpH3U]k1;;^))3);)8);)K)K +888AK-K[AC78(`v .Gy `N]U,=[4$8G/!S%``4`!`Y`!`S`S +KKKg616Lg+rKdP lQ|[~Pa7v%}8oDq["&}c::o:&:s:&:S:S +%%%9;i;}9,9%$fL]RQJGfwV][kEZA8 G_!;CCZC_CbC_CwCw +000=TcT~=gi0|>B7u8P_>m@NBUFe,74Z I EEeE E\E EfEf +WWW>TMTb>Z^Wo=5G\f/I=:Djxw;)=0VK5jGuu)u5ucu5uLuL +ttt^{H{D^Rltq+*L8!@F+y`;Mmzpdn!X3U]k1K|^))3);)8);)K)K +888AK-K[A^@8(`v .Gy `N]U,=[4$8G/St%``4`!`Y`!`S`S +KKKg616LgzeKdP lQ|[~Pa7v%}8oDq["Svc::o:&:s:&:S:S +%%%9;i;}9C,%$fL]RQJGfwV][kEZA8 Gwg;CCZC_CbC_CwCw +000=TcT~=n30|>B7u8P_>m@NBUFe,74Zfd EEeE E\E EfEf +WWW>TMTb>&&Wo=5G\f/I=:Djxw;)=0VKLNGuu)u5ucu5uLuL +ttt^{H{D^NJtq+*L8!@F+y`;Mmzpdn!XB5OHHpH3U]k1mN^))3);)8);)K)K +888AK-K[A"^8(`v .Gy `N]U,=[4$8G/=T%``4`!`Y`!`S`S +KKKg616Lg!sKdP lQ|[~Pa7v%}8oDq["}uc::o:&:s:&:S:S +%%%9;i;}9||%$fL]RQJGfwV][kEZA8 Gkx;CCZC_CbC_CwCw +000=TcT~=B7u8P_>m@NBUFe,74ZC EEeE E\E EfEf +WWW>TMTb>XRWo=5G\f/I=:Djxw;)=0VK`LGuu)u5ucu5uLuL +ttt^{H{D^J"tq+*L8!@F+y`;Mmzpdn!XymOHHpHoWWTWZWdWZW3U]k1|z^))3);)8);)K)K +888AK-K[A--8(`v .Gy `N]U,=[4$8G/t!%``4`!`Y`!`S`S +KKKg616Lg1&KdP lQ|[~Pa7v%}8oDq["OSc::o:&:s:&:S:S +%%%9;i;}9ia%$fL]RQJGfwV][kEZA8 Gvk;CCZC_CbC_CwCw +000=TcT~=c=0|>B7u8P_>m@NBUFe,74ZIC EEeE E\E EfEf +WWW>TMTb>McWo=5G\f/I=:Djxw;)=0VK Guu)u5ucu5uLuL +ttt^{H{D^HGtq+*L8!@F+y`;Mmzpdn!X ;OHHpH3U]k1Bm^))3);)8);)K)K +888AK-K[A7A8(`v .Gy `N]U,=[4$8G/UQ%``4`!`Y`!`S`S +KKKg616Lg&fKdP lQ|[~Pa7v%}8oDq["vOc::o:&:s:&:S:S +%%%9;i;}9:(%$fL]RQJGfwV][kEZA8 G]];CCZC_CbC_CwCw +000=TcT~=]g0|>B7u8P_>m@NBUFe,74ZN. EEeE E\E EfEf +WWW>TMTb>-3U]k1;K^))3);)8);)K)K +888AK-K[AAC8(`v .Gy `N]U,Q[4$8G/!=%``4`!`Y`!`S`S +KKKg616LggzKdP lQ|[~Pa7v%f8oDq["&fc::o:&:s:&:S:S +%%%9;i;}99C%$fL]RQJGfwV][!EZA8 G_v;CCZC_CbC_CwCw +000=TcT~==<0|>B7u8P_>m@NBCFe,74Z N EEeE E\E EfEf +WWW>TMTb>>MWo=5G\f/I=:Djx`;)=0VK5 Guu)u5ucu5uLuL +ttt^{H{D^^ tq+*L8!@F+y`;Myzpdn!X<~OHHpH3U]k1KM^))3);)8);)K)K +888AK-K[A@"8(`v .Gy `N]U,Q[4$8G/SU%``4`!`Y`!`S`S +KKKg616Lgf1KdP lQ|[~Pa7v%f8oDq["S#c::o:&:s:&:S:S +%%%9;i;}9~:%$fL]RQJGfwV][!EZA8 Gw,;CCZC_CbC_CwCw +000=TcT~=i]0|>B7u8P_>m@NBCFe,74Zf% EEeE E\E EfEf +WWW>TMTb>c>Wo=5G\f/I=:Djx`;)=0VKL\Guu)u5ucu5uLuL +ttt^{H{D^ZZtq+*L8!@F+y`;Myzpdn!Xm3U]k1m@^))3);)8);)K)K +888AK-K[AlR8(`v .Gy `N]U,Q[4$8G/=9%``4`!`Y`!`S`S +KKKg616LgegKdP lQ|[~Pa7v%f8oDq["} c::o:&:s:&:S:S +%%%9;i;}9(~%$fL]RQJGfwV][!EZA8 G!_;CCZC_CbC_CwCw +000=TcT~=pp0|>B7u8P_>m@NBCFe,74ZCf EEeE E\E EfEf +WWW>TMTbcZZWo=5G\f/I=:Djx`;)=0VK`wGuu)u5ucu5uLuL +ttt^{H{DZlRtq+*L8!@F+y`;Myzpdn!XyyOHHpHc>-W< +uuu&) )[N5&uG$Xqvgd=$Ek mJV{Fa&_JGqtt{tG"t/tRtNsHllHGtR +HHH~p p4:<:HOARoYq+dAbdBX|>3U]k1M;^))3);)8);)K)K +888AK-K[@Cl8(`v .Gy `N]U,Q[4$8G/tS%``4`!`Y`!`S`S +KKKg616Lfz+KdP lQ|[~Pa7v%f8oDq["O}c::o:&:s:&:S:S +%%%9;i;}~{{%$fL]RQJGfwV][!EZA8 Gv!;CCZC_CbC_CwCw +000=TcT~i3n0|>B7u8P_>m@NBCFe,74ZII EEeE E\E EfEf +WWW>TMTbc3U]k1B|^))3);)8);)K)K +888AK-K[@<<8(`v .Gy `N]U,Q[4$8G/Ut%``4`!`Y`!`S`S +KKKg616Lfs!KdP lQ|[~Pa7v%f8oDq["vvc::o:&:s:&:S:S +%%%9;i;}~Ci%$fL]RQJGfwV][!EZA8 G]g;CCZC_CbC_CwCw +000=TcT~inw0|>B7u8P_>m@NBCFe,74ZNd EEeE E\E EfEf +WWW>TMTbc&-Wo=5G\f/I=:Djx`;)=0VKjNGuu)u5ucu5uLuL +ttt^{H{DZN^tq+*L8!@F+y`;Myzpdn!X;5OHHpH3U]k1;m^))3);)8);)K)K +888AK-K[@"78(`v .Gy `N]5,S[4$8G/!Q%``4`!`Y`!`S`S +KKKg616Lf!rKdP lQ|[~Pa7#%S8oDq["&Oc::o:&:s:&:S:S +%%%9;i;}~|9%$fL]RQJGfwVg[wEZA8 G_];CCZC_CbC_CwCw +000=TcT~iB7u8P_>m@.BfFe,74Z . EEeE E\E EfEf +WWW>TMTbcX^Wo=5G\f/I=:D xL;)=0VK5&Guu)u5ucu5uLuL +ttt^{H{DZHltq+*L8!@F+y`fMBzpdn!X<:OHHpH3U]k1KB^))3);)8);)K)K +888AK-K[@-@8(`v .Gy `N]5,S[4$8G/S5%``4`!`Y`!`S`S +KKKg616Lf1eKdP lQ|[~Pa7#%S8oDq["Sic::o:&:s:&:S:S +%%%9;i;}~:,%$fL]RQJGfwVg[wEZA8 GwX;CCZC_CbC_CwCw +000=TcT~iw30|>B7u8P_>m@.BfFe,74Zfa EEeE E\E EfEf +WWW>TMTbcR&Wo=5G\f/I=:D xL;)=0VKw5Guu)u5ucu5uLuL +ttt^{H{DZ Jtq+*L8!@F+y`fMBzpdn!XmBOHHpH3U]k1mC^))3);)8);)K)K +888AK-K[@R^8(`v .Gy `N]5,S[4$8G/=;%``4`!`Y`!`S`S +KKKg616LfrsKdP lQ|[~Pa7#%S8oDq["f&c::o:&:s:&:S:S +%%%9;i;}~a|%$fL]RQJGfwVg[wEZA8 G!w;CCZC_CbC_CwCw +000=TcT~i]c0|>B7u8P_>m@.BfFe,74ZCU EEeE E\E EfEf +WWW>TMTbc-RWo=5G\f/I=:D xL;)=0VK``Guu)u5ucu5uLuL +ttt^{H{DZ""tq+*L8!@F+y`fMBzpdn!Xy OHHpH3U]k1MK^))3);)8);)K)K +888AK-K[@A-8(`v .Gy `N]5,S[4$8G/t=%``4`!`Y`!`S`S +KKKg616Lfg&KdP lQ|[~Pa7#%S8oDq["Ofc::o:&:s:&:S:S +%%%9;i;}~9a%$fL]RQJGfwVg[wEZA8 Gvv;CCZC_CbC_CwCw +000=TcT~i==0|>B7u8P_>m@.BfFe,74ZIN EEeE E\E EfEf +WWW>TMTbc>cWo=5G\f/I=:D xL;)=0VK Guu)u5ucu5uLuL +ttt^{H{DZ^Gtq+*L8!@F+y`fMBzpdn!X ~OHHpH3U]k1BM^))3);)8);)K)K +888AK-K[@@A8(`v .Gy `N]5,S[4$8G/UU%``4`!`Y`!`S`S +KKKg616LfffKdP lQ|[~Pa7#%S8oDq["v#c::o:&:s:&:S:S +%%%9;i;}~~(%$fL]RQJGfwVg[wEZA8 G],;CCZC_CbC_CwCw +000=TcT~ipg0|>B7u8P_>m@.BfFe,74ZN% EEeE E\E EfEf +WWW>TMTbc^3U]k1;|^))3);)8);)K)K +888AK-K[lCC8(`v .Gy `N]5,=[4$8G/!t%``4`!`Y`!`S`S +KKKg616Le+zKdP lQ|[~Pa7#%}8oDq["&vc::o:&:s:&:S:S +%%%9;i;}(,C%$fL]RQJGfwVg[kEZA8 G_g;CCZC_CbC_CwCw +000=TcT~pg<0|>B7u8P_>m@.BUFe,74Z d EEeE E\E EfEf +WWW>TMTb^ZMWo=5G\f/I=:D xw;)=0VK5NGuu)u5ucu5uLuL +ttt^{H{DGl tq+*L8!@F+y`fMmzpdn!X<5OHHpH3U]k1KN^))3);)8);)K)K +888AK-K[l^"8(`v .Gy `N]5,=[4$8G/ST%``4`!`Y`!`S`S +KKKg616Lez1KdP lQ|[~Pa7#%}8oDq["Suc::o:&:s:&:S:S +%%%9;i;}({:%$fL]RQJGfwVg[kEZA8 Gwx;CCZC_CbC_CwCw +000=TcT~p3]0|>B7u8P_>m@.BUFe,74ZU EEeE E\E EfEf +WWW>TMTb^<>Wo=5G\f/I=:D xw;)=0VKwLGuu)u5ucu5uLuL +ttt^{H{DGRZtq+*L8!@F+y`fMmzpdn!XmmOHHpHoWWTWZWdWZW3U]k1mz^))3);)8);)K)K +888AK-K[lB7u8P_>m@.BUFe,74ZCC EEeE E\E EfEf +WWW>TMTb^XZWo=5G\f/I=:D xw;)=0VK` Guu)u5ucu5uLuL +ttt^{H{DGJRtq+*L8!@F+y`fMmzpdn!Xy;OHHpH3U]k1Mm^))3);)8);)K)K +888AK-K[l"l8(`v .Gy `N]5,=[4$8G/tQ%``4`!`Y`!`S`S +KKKg616Le1+KdP lQ|[~Pa7#%}8oDq["OOc::o:&:s:&:S:S +%%%9;i;}(i{%$fL]RQJGfwVg[kEZA8 Gv];CCZC_CbC_CwCw +000=TcT~pcn0|>B7u8P_>m@.BUFe,74ZI. EEeE E\E EfEf +WWW>TMTb^MXWo=5G\f/I=:D xw;)=0VK &Guu)u5ucu5uLuL +ttt^{H{DGHHtq+*L8!@F+y`fMmzpdn!X :OHHpH3U]k1BB^))3);)8);)K)K +888AK-K[l7<8(`v .Gy `N]5,=[4$8G/U5%``4`!`Y`!`S`S +KKKg616Le&!KdP lQ|[~Pa7#%}8oDq["vic::o:&:s:&:S:S +%%%9;i;}(:i%$fL]RQJGfwVg[kEZA8 G]X;CCZC_CbC_CwCw +000=TcT~pww0|>B7u8P_>m@.BUFe,74ZNa EEeE E\E EfEf +WWW>TMTb^R-Wo=5G\f/I=:D xw;)=0VK 5Guu)u5ucu5uLuL +ttt^{H{DG ^tq+*L8!@F+y`fMmzpdn!XfBOHHpH3U]k1;M^))3);)8);)K)K +888AK-K[lR78(`v .Gy `N]5,Q[4$8G/!U%``4`!`Y`!`S`S +KKKg616LerrKdP lQ|[~Pa7#%f8oDq["&#c::o:&:s:&:S:S +%%%9;i;}(a9%$fL]RQJGfwVg[!EZA8 G_,;CCZC_CbC_CwCw +000=TcT~p]i0|>B7u8P_>m@.BCFe,74Z % EEeE E\E EfEf +WWW>TMTb^-^Wo=5G\f/I=:D x`;)=0VK5\Guu)u5ucu5uLuL +ttt^{H{DG^ltq+*L8!@F+y`fMyzpdn!XB3U]k1K@^))3);)8);)K)K +888AK-K[lA@8(`v .Gy `N]5,Q[4$8G/S9%``4`!`Y`!`S`S +KKKg616LegeKdP lQ|[~Pa7#%f8oDq["S c::o:&:s:&:S:S +%%%9;i;}(~,%$fL]RQJGfwVg[!EZA8 Gk_;CCZC_CbC_CwCw +000=TcT~pi30|>B7u8P_>m@.BCFe,74ZUf EEeE E\E EfEf +WWW>TMTb^c&Wo=5G\f/I=:D x`;)=0VKwwGuu)u5ucu5uLuL +ttt^{H{DGZJtq+*L8!@F+y`fMyzpdn!XmyOHHpH3U]k1|;^))3);)8);)K)K +888AK-K[ll^8(`v .Gy `N]5,Q[4$8G/QS%``4`!`Y`!`S`S +KKKg616LeesKdP lQ|[~Pa7#%f8oDq["f}c::o:&:s:&:S:S +%%%9;i;}((|%$fL]RQJGfwVg[!EZA8 G!!;CCZC_CbC_CwCw +000=TcT~ppc0|>B7u8P_>m@.BCFe,74ZCI EEeE E\E EfEf +WWW>TMTb^^RWo=5G\f/I=:D x`;)=0VK`jGuu)u5ucu5uLuL +ttt^{H{DGG"tq+*L8!@F+y`fMyzpdn!XyfOHHpH3U]k1M|^))3);)8);)K)K +888AK-K[^CC-8(`v .Gy `N]5,Q[4$8G/tt%``4`!`Y`!`S`S +KKKg616Lz++&KdP lQ|[~Pa7#%f8oDq["Ovc::o:&:s:&:S:S +%%%9;i;}{,,a%$fL]RQJGfwVg[!EZA8 Gvg;CCZC_CbC_CwCw +000=TcT~3gg=0|>B7u8P_>m@.BCFe,74ZId EEeE E\E EfEf +WWW>TMTb3U]k1BN^))3);)8);)K)K +888AK-K[^C^A8(`v .Gy `N]5,Q[4$8G/UT%``4`!`Y`!`S`S +KKKg616Lz+zfKdP lQ|[~Pa7#%f8oDq["vuc::o:&:s:&:S:S +%%%9;i;}{,{(%$fL]RQJGfwVg[!EZA8 G]x;CCZC_CbC_CwCw +000=TcT~3gng0|>B7u8P_>m@.BCFe,74Z. EEeE E\E EfEf +WWW>TMTb3t2&-]k1;K^))3)NM)8);)K)K +888AKAK[R8(`v .Gy `N]S,Q[4fx|W8G/!=%``4`5t`Y`!`S`S +KKKg6g6LgKdP lQ|[~Pa7S%f8oMpCVq["&fc::o:#O:s:&:S:S +%%%9;9;}~%$fL]RQJGfwVk[kEZ{ad48 G__;CCZCgvCbC_CwCw +000=T=T~p0|>B7u8P_>m@UBUFewjk374Z f EEeE.IE\E EfEf +WWW>T>Tb3t2&-]k1;m^))3)NM)8);)K)K +888AKAK[^l8(`v .Gy `N]Q,S[4fx|W8G/!Q%``4`5t`Y`!`S`S +KKKg6g6Ls+KdP lQ|[~Pa7f%}8oMpCVq["&&c::o:#O:s:&:S:S +%%%9;9;}C{%$fL]RQJGfwV![kEZ{ad48 G_w;CCZCgvCbC_CwCw +000=T=T~nn0|>B7u8P_>m@CBUFewjk374Z U EEeE.IE\E EfEf +WWW>T>Tb&XWo=5G\f/I=:D`xw;)3t2&-]k1;|^))3)NM)8);)K)K +888AKAK["<8(`v .Gy `N]t,=[4fx|W8G/!!%``4`5t`Y`!`S`S +KKKg6g6L!!KdP lQ|[~Pa7O%}8oMpCVq["&Sc::o:#O:s:&:S:S +%%%9;9;}|i%$fL]RQJGfwVv[kEZ{ad48 G_k;CCZCgvCbC_CwCw +000=T=T~B7u8P_>m@IBUFewjk374Z C EEeE.IE\E EfEf +WWW>T>TbX-Wo=5G\f/I=:D x`;)3t2&-]k1;;^))3)NM)8);)K)K +888AKAK[-78(`v .Gy `N]U,=[4fx|W8G/!S%``4`5t`Y`!`S`S +KKKg6g6L1rKdP lQ|[~Pa7v%}8oMpCVq["&}c::o:#O:s:&:S:S +%%%9;9;}i9%$fL]RQJGfwV][kEZ{ad48 G_!;CCZCgvCbC_CwCw +000=T=T~ci0|>B7u8P_>m@NBCFewjk374Z EEeE.IE\E EfEf +WWW>T>TbM^Wo=5G\f/I=:Djx`;)3t2&-]k1;K^))3)NM)8);)K)K +888AKAK[7@8(`v .Gy `N]5,=[4fx|W8G/!=%``4`5t`Y`!`S`S +KKKg6g6L&eKdP lQ|[~Pa7#%}8oMpCVq["&fc::o:#O:s:&:S:S +%%%9;9;}a,%$fL]RQJGfwVg[!EZ{ad48 G__;CCZCgvCbC_CwCw +000=T=T~]30|>B7u8P_>m@.BCFewjk374Z f EEeE.IE\E EfEf +WWW>T>Tb-&Wo=5G\f/I=:D x`;)TcTbXWo=5G\f/I=:DLxw;)O*BOa&_lJqtt{tRGNt/tltRtR +HHH~p:p4 HOARoYq+dAbdKX|>3"=wf%b!CC(88K8^l<8s8C8^8^ +```T494RU`%s*(2g\$se)zH!p6_`*rq["&Sc::o:S }:s:&:S:S +%%%9;~;}a%$fL]RQJGfwVw[!EZ:/XR.hogn|00T03pn060g0303 +EEEde%e^dE 6&?P*r,6[6B7u8P_>m@UBUFe(oK4P+LZXoWWTW<^&WdWZWTcTb<-Wo=5G\f/I=:D`xL;)O*BOa&_llqtt{tRGNt/tRtNsJN"^GtR +HHH~p:p4B~HOARoYq+dAbd|XK>3"=wf%b!C^(88K8^l<8s8C8^8^ +```T494RS9`%s*(2g\$se)!Hzp6_`*rq["&}c::o:S }:s:&:S:S +%%%9;~;}{(%$fL]RQJGfwV![wEZ:/XR.hog<|00T03pn060g0303 +EEEde%e^U E 6&?P*r,6[6XD&;Tlyb+0VK55Guu)uL\wucuLuwQwN`LuL +ttt^{Z{DNRtq+*L8!@F+y`yMmzpKg D]k1;K^))3)Kzm)8)K)m:KmK||)K +888AK@K[<<8(`v .Gy `N]Q,=[4I:9^2nY+sdKK6KzesKuK+KzKz +:::iouoY}f:cA~b!^aDA\.|*C^;"rST8 G_!;CCZCwxkCbC_CwCw +000=TiT~nc0|>B7u8P_>m@CBCFe(oK4P+LZZoWWTW<^&WdWTcTb&^Wo=5G\f/I=:D xL;)O*BOa&_lRqtt{tRGNt/tltRtR +HHH~p:p4y3"=wf%b!C<(88K8^l<8s8C8^8^ +```T494RQS`%s*(2g\$se)1Hzp6_`*rq["&fc::o:S }:s:&:S:S +%%%9;~;}|C%$fL]RQJGfwVv[kEZ:/XR.hogg|00T03pn060g0303 +EEEde%e^CCE 6&?P*r,6[6MD&;Tlyb+0VK5LGuu)uL\wucu5uLuL +ttt^{Z{DJHtq+*L8!@F+y` MmzpKg D]k1;m^))3)Kzm)8);)K)K +888AK@K["78(`v .Gy `N]t,=[4I:9^2nY+!dKK6KzesKuK+KzKz +:::iouoYf#:cA~b!^aDA\.i*|^;"rST8 G__;CCZCwxkCbCwCkK_w]_]Cw +000=TiT~<=0|>B7u8P_>m@IBCFe(oK4P+LZTcTbM&Wo=5G\f/I=:DjxL;)O*BOa&_lNqtt{tRGNt/tltRtR +HHH~p:p4 yHOARoYq+dAbdBXK>3"=wf%b!C"(88K8^l<8s8C8^8^ +```T494Rtt`%s*(2g\$se)&Hsp6_`*rq["&&c::o:S }:s:S:}.OO#fu:S +%%%9;~;}i:%$fL]RQJGfwV][kEZ:/XR.hog3|00T03pn060g0303 +EEEde%e^I.E 6&?P*r,6[6RD&;Tlyb+0VK5wGuu)uL\wucu5uLuL +ttt^{Z{DH^tq+*L8!@F+y`;MmzpKg D]k1;|^))3)Kzm)8);)K)K +888AK@K[-@8(`v .Gy `N]U,Q[4I:9^2nY++dKK6KzesKuKzKsS!fzegKz +:::iouoYO :cA~b!^aDA\.:*|^;"rST8 G_w;CCZCwxkCbC_CwCw +000=TiT~wg0|>B7u8P_>m@NBCFe(oK4P+LZ&oWWTW<^&WdWZWTcTbRRWo=5G\f/I=:D xL;)O*BOa&_lJqtt{tRGNt/tltRtR +HHH~p:p4;fHOARoYq+dAbdNXm>3"=wf%b!CC(88K8^l<8s8C8^8^ +```T494RUT`%s*(2g\$se)rHsp6_`*rq["&Sc::o:S }:s:&:S:S +%%%9;~;}:~%$fL]RQJGfwVg[kEZ:/XR.hogn|00T03pn060g0303 +EEEde%e^NaE 6&?P*r,6[6-D&;Tlyb+0VK5`Guu)uL\wucu5uLuL +ttt^{Z{D"ltq+*L8!@F+y`fMyzpKg D]k1;;^))3)Kzm)8);)K)K +888AK@K[R^8(`v .Gy `N]5,Q[4I:9^2nY+zdKK6KzesKuK+KzKz +:::iouoY#}:cA~b!^aDA\.a*|^;"rST8 G_k;CCZCwxkCbC_CwCw +000=TiT~]<0|>B7u8P_>m@.BCFe(oK4P+LZXoWWTW<^&WdWZWT^TbXWo=5G\f/I=:DLx`;)dvJ*9C?yTn!X3Pl-=V_jNp8G/!S%``4`;5`Y`!`S`S +KKKg6e6LfKdP lQ|[~Pa7f%S8oa!V| /Bwp8 G__;CCZCxgCbC_CwCw +000=TpT~p0|>B7u8P_>m@CBfFerP3o{Y!:70VK5LGuu)u\ ucu5uLuL +ttt^{G{DRltq+*L8!@F+y`yMmzp+Y#gt-Rh0%b!CC(88K8lR8s8C8^8^ +```T4;4RSS`%s*(2g\$se)!Hsp6[QV`MV2\K7c-,{$%%;%(a%x%,%{%{ +CCC,ZxZ~wkC;|2rf&?A|P#<}<:TPuzNw3J[HP+LZZoWWTW^-WdWT^Tb<-Wo=5G\f/I=:D xw;)dvJ*9C?yTn!X<3Pl-=V_jNp8G/!!%``4`;5`Y`!`S`S +KKKg6e6LszKdP lQ|[~Pa7v%S8oa!V| /Bwp8 G_w;CCZCxgCbC_CwCw +000=TpT~nn0|>B7u8P_>m@NBUFerP3o{Y!:70VK55Guu)u\ ucu5uLuL +ttt^{G{DNJtq+*L8!@F+y`;Mmzp+Y#gt-Rh0%b!C^(88K8lR8s8C8^8^ +```T4;4R=t`%s*(2g\$se)&H!p6[QV`MV2\K7c-,,$%%;%(a%x%,%{%{ +CCC,ZxZ~k]C;|2rf&?A|P#w}<:TPuzNw3J[HP+LZT^Tb&^Wo=5G\f/I=:D xw;)dvJ*9C?yTn!XB7u8P_>m@UBUFerP3o{Y!P+LZZoWWTW^-WdWZWT3Pl-=V_j%b!CC(88K8lR8s8^8B7u8P_>m@IBUFerP3o{Y!P+LZT3Pl-=V_j%b!C^(88K8lR8s8C8^8^ +```T4S!4R=t`%s*(2g\$se)&H!p6[QV`MV2q["&&c::o: #:s:S:}.&}}O#:S +%%%9;{,;}C:%$fL]RQJGfwV][!EZ?f4/*zv.hog3|00T0p]060g0303 +EEEdef e^U.E 6&?P*r,6[6-D<;T/\YyB7u8P_>m@.BCFerP3o{Y!P+LZZoWWTW^-WdWZWT<3Pl-=rH0G%b!CC(88K8lR8s8C8^8^ +```T4SS4RU`%s*(2g\$se)sHsp6[QV`g0`^q["&Sc::o: #:s:&:S:S +%%%9;{{;}a%$fL]RQJGfwVk[!EZ?f4/1kd8.hogg|00T0p]060g0303 +EEEdeffe^dE 6&?P*r,6[6&DX;T/\YyO-7g0VK5LGuu)u\ ucu5uLuL +ttt^{RR{DZtq+*L8!@F+y`yMBzp+Y#g"w0q]k1;;^))3)zN)8);)K)K +888AK^^K[l8(`v .Gy `N]Q,S[4\2W:_8{|2nY+zdKK6KerKuK+KzKz +:::ioSSoYS&:cA~b!^aDA\.|*C^;JR/r:Mp&8 G__;CCZCxgCbC_CwCw +000=T33T~330|>B7u8P_>m@CBUFerP3ol*HfP+LZT<3Pl-=rH0G%b!C^(88K8lR8s8C8^8^ +```T4SS4RS9`%s*(2g\$se)1H!p6[QV`g0`^q["&&c::o: #:s:&:S:S +%%%9;{{;}{(%$fL]RQJGfwVv[!EZ?f4/1kd8.hog3|00T0p]060g0303 +EEEdeffe^U E 6&?P*r,6[6RD<;T/\YyO-7g0VK55Guu)u\ ucu5uLuL +ttt^{RR{DNRtq+*L8!@F+y`;MBzp+Y#g"w0q]k1;K^))3)zN)8);)K)K +888AK^^K[<<8(`v .Gy `N]U,=[4\2W:_8{|2nY++dKK6KerKuK+KzKz +:::ioSSoY}f:cA~b!^aDA\.:*C^;JR/r:Mp&8 G_w;CCZCxgCbC_CwCw +000=T33T~nc0|>B7u8P_>m@NBCFerP3ol*HfP+LZZoWWTW^-WdWZWT<3Pl-=rH0G%b!CC(88K8lR8s8C8^8^ +```T4SS4RQS`%s*(2g\$se)rH!p6[QV`g0`^q["&Sc::o: #:s:&:S:S +%%%9;{C;},%$fL]RQJGfwVw[kEZ?f4/[(kd8.hogg|00T0p]060g0303 +EEEdefUe^fE 6&?P*r,6[6B7u8P_>m@UBUFerP3oN7*HfP+LZT<&Tb3Pl-=FcH0G%b!C^(88K8lR8s8C8^8^ +```T4S=4RS=`%s*(2g\$se)!H!p6[QV`?d0`^q["&&c::o: #:s:&:S:S +%%%9;{C;}{|%$fL]RQJGfwV![!EZ?f4/[(kd8.hog3|00T0p]060g0303 +EEEdefUe^fIE 6&?P*r,6[6MD<;T/\YyZ'-7g0VK55Guu)u\ ucu5uLuL +ttt^{RN{DR tq+*L8!@F+y` MBzp+Y#gysw0q]k1;K^))3)zN)8);)K)K +888AK^B7u8P_>m@IBCFerP3oN7*HfP+LZZoWWTW^-WdWZWT<&Tb&XWo=5G\f/I=:Djxw;)dvJ* 4$\!a&_lRqtt{tG"t/tltRtR +HHH~pBmp4m HOARoYq+dAbdBX|>3Pl-=FcH0G%b!CC(88K8lR8s8C8^8^ +```T4S=4R=U`%s*(2g\$se)&H!p6[QV`?d0`^q["&Sc::o: #:s:&:S:S +%%%9;{C;}Ca%$fL]RQJGfwVg[wEZ?f4/[(kd8.hogg|00T0p]060g0303 +EEEdefUe^UdE 6&?P*r,6[6-D<;T/\YyZ'-7g0VK5LGuu)u\ ucu5uLuL +ttt^{RN{DNZtq+*L8!@F+y`fMmzp+Y#gysw0q]k1;;^))3)zN)8);)K)K +888AK^B7u8P_>m@.BCFerP3oN7*HfP+LZ3t-R]k1;K^))3)zN)8);)K)K +888AK^7K[<8(`v .Gy `N]S,=[4fWk8G/!=%``4`;5`Y`!`S`S +KKKg6z&6L!KdP lQ|[~Pa7S%}8oMV2q["&fc::o: #:s:&:S:S +%%%9;{:;}i%$fL]RQJGfwVw[kEZ{4}8 G_v;CCZCxgCbC_CwCw +000=T3wT~w0|>B7u8P_>m@fBUFew3J74Z N EEeEa.E\E EfEf +WWW>T3t-R]k1KM^))3)zN)8);)K)K +888AK^7K[^78(`v .Gy `N]S,=[4fWk8G/SU%``4`;5`Y`!`S`S +KKKg6z&6LzrKdP lQ|[~Pa7S%f8oMV2q["&&c::o: #:s:&:S:S +%%%9;{:;}{9%$fL]RQJGfwVw[!EZ{4}8 G_w;CCZCxgCbC_CwCw +000=T3wT~3i0|>B7u8P_>m@fBCFew3J74Z U EEeEa.E\E EfEf +WWW>T3t-R]k1KK^))3)zN)8);)K)K +888AK^7K[<@8(`v .Gy `N]S,Q[4fWk8G/S=%``4`;5`Y`!`S`S +KKKg6z&6LseKdP lQ|[~Pa7S%f8oMV2q["Sfc::o: #:s:&:S:S +%%%9;{:;}|,%$fL]RQJGfwVw[!EZ{4}8 Gwv;CCZCxgCbC_CwCw +000=T3wT~<30|>B7u8P_>m@fBCFew3J74ZfN EEeEa.E\E EfEf +WWW>T3t-R]k1;C^))3)zN)8);)K)K +888AK^7K[-^8(`v .Gy `N]=,=[4fWk8G/!;%``4`;5`Y`!`S`S +KKKg6z&6L1sKdP lQ|[~Pa7}%}8oMV2q["S&c::o: #:s:&:S:S +%%%9;{:;}i|%$fL]RQJGfwVk[kEZ{4}8 Gww;CCZCxgCbC_CwCw +000=T3wT~cc0|>B7u8P_>m@UBUFew3J74ZfU EEeEa.E\E EfEf +WWW>T3t-R]k1;B^))3)zN)8);)K)K +888AK^7K[7-8(`v .Gy `N]=,Q[4fWk8G/!5%``4`;5`Y`!`S`S +KKKg6z&6L&&KdP lQ|[~Pa7}%f8oMV2q["&ic::o: #:s:&:S:S +%%%9;{:;}:a%$fL]RQJGfwVk[!EZ{4}8 G_X;CCZCxgCbC_CwCw +000=T3wT~w=0|>B7u8P_>m@UBCFew3J74Z a EEeEa.E\E EfEf +WWW>T3t-R]k1;m^))3)zN)8)K)m:;N@K@)K +888AK^7K[RA8(`v .Gy `N]Q,S[4fWk8G/!Q%``4`;5`Y`!`S`S +KKKg6z&6LrfKdP lQ|[~Pa7f%S8oMV2q["&Oc::o: #:s:&:S:S +%%%9;{:;}a(%$fL]RQJGfwV![wEZ{4}8 G_];CCZCxgCbC_CwCw +000=T3wT~=g0|>B7u8P_>m@CBfFew3J74Z . EEeEa.E\E EfEf +WWW>T3t-R]k1KB^))3)zN)8)K)m:MCMzm)K +888AK^7K[@C8(`v .Gy `N]Q,=[4fWk8G/!!%``4`;5`Y`!`S`S +KKKg6z&6LfzKdP lQ|[~Pa7f%}8oMV2q["&Sc::o: #:s:&:S:S +%%%9;{:;}~C%$fL]RQJGfwV![kEZ{4}8 G_k;CCZCxgCbCwCkK!gvX!Cw +000=T3wT~i<0|>B7u8P_>m@CBUFew3J74Z C EEeEa.E\EfEU=IfNINEf +WWW>T3t-R]k1Km^))3)zN)8);)K)K +888AK^7K[l"8(`v .Gy `N]Q,=[4fWk8G/SQ%``4`;5`Y`!`S`S +KKKg6z&6Le1KdP lQ|[~Pa7f%}8oMV2q["SOc::o: #:s:&:S:S +%%%9;{:;}(:%$fL]RQJGfwV![kEZ{4}8 Gw];CCZCxgCbC_CwCw +000=T3wT~p]0|>B7u8P_>m@CBCFew3J74Z EEeEa.E\E EfEf +WWW>TWo=5G\f/I=:D`x`;)oWWTW^-WdWZW3t-R]k1;z^))3)zN)8)K)m:MCMKN)K +888AK^7K[^CR8(`v .Gy `N]Q,Q[4fWk8G/S!%``4`;5`Y`S`=V!9tSt`S +KKKg6z&6Lz+gKdP lQ|[~Pa7f%f8oMV2q["SSc::o: #:s:S:}.&v&vi:S +%%%9;{:;}{,~%$fL]RQJGfwV![!EZ{4}8 Gwk;CCZCxgCbCwCkKk_w_!Cw +000=T3wT~3gp0|>B7u8P_>m@CBCFew3J74ZfC EEeEa.E\EfEU= dCdUEf +WWW>T3t-R]k1;N^))3)zN)8);)K)K +888AK^7K[^^l8(`v .Gy `N]t,S[4fWk8G/!T%``4`;5`Y`!`S`S +KKKg6z&6Lzs+KdP lQ|[~Pa7O%S8oMV2q["&uc::o: #:s:&:S:S +%%%9;{:;}{C{%$fL]RQJGfwVv[wEZ{4}8 G_x;CCZCxgCbC_CwCw +000=T3wT~3nn0|>B7u8P_>m@IBfFew3J74Zf EEeEa.E\E EfEf +WWW>T3t-R]k1;|^))3)zN)8);)K)K +888AK^7K[^"<8(`v .Gy `N]t,=[4fWk8G/!t%``4`;5`Y`!`S`S +KKKg6z&6Lz!!KdP lQ|[~Pa7O%}8oMV2q["&vc::o: #:s:&:S:S +%%%9;{:;}{|i%$fL]RQJGfwVv[kEZ{4}8 G_g;CCZCxgCbC_CwCw +000=T3wT~3B7u8P_>m@IBUFew3J74Z d EEeEa.E\E EfEf +WWW>T3t-R]k1;;^))3)zN)8)K)m:;mB|z)K +888AK^7K[^-78(`v .Gy `N]t,Q[4fWk8G/!S%``4`;5`Y`!`S`S +KKKg6z&6Lz1rKdP lQ|[~Pa7O%f8oMV2q["&}c::o: #:s:&:S:S +%%%9;{:;}{i9%$fL]RQJGfwVv[!EZ{4}8 G_!;CCZCxgCbC_CwCw +000=T3wT~3ci0|>B7u8P_>m@IBCFew3J74Z I EEeEa.E\E EfEf +WWW>T3t-R]k1K|^))3)zN)8);)K)K +888AK^7K[^7@8(`v .Gy `N]t,Q[4fWk8G/St%``4`;5`Y`!`S`S +KKKg6z&6Lz&eKdP lQ|[~Pa7O%f8oMV2q["Svc::o: #:s:S:}.SOvi :S +%%%9;{:;}{a,%$fL]RQJGfwV][wEZ{4}8 G__;CCZCxgCbC_CwCw +000=T3wT~3]30|>B7u8P_>m@NBfFew3J74Z f EEeEa.E\E EfEf +WWW>T3t-R]k1K;^))3)zN)8);)K)K +888AK^7K[^A^8(`v .Gy `N]U,S[4fWk8G/SS%``4`;5`Y`!`S`S +KKKg6z&6LzgsKdP lQ|[~Pa7v%S8oMV2q["S}c::o: #:s:&:S:S +%%%9;{:;}{9|%$fL]RQJGfwV][wEZ{4}8 Gw!;CCZCxgCbC_CwCw +000=T3wT~3=c0|>B7u8P_>m@NBfFew3J74ZfI EEeEa.E\E EfEf +WWW>TRWo=5G\f/I=:DjxL;)3t-R]k1;@^))3)zN)8);)K)K +888AK^7K[^@-8(`v .Gy `N]U,=[4fWk8G/!9%``4`;5`Y`!`S`S +KKKg6z&6Lzf&KdP lQ|[~Pa7v%}8oMV2q["& c::o: #:s:&:S:S +%%%9;{:;}{~a%$fL]RQJGfwV][kEZ{4}8 Gw_;CCZCxgCbC_CwCw +000=T3wT~3i=0|>B7u8P_>m@NBUFew3J74Zff EEeEa.E\E EfEf +WWW>T3t-R]k1;M^))3)zN)8);)K)K +888AK^7K[^lA8(`v .Gy `N]U,Q[4fWk8G/!U%``4`;5`Y`S`=VS==;5`S +KKKg6z&6LzefKdP lQ|[~Pa7v%f8oMV2q["&#c::o: #:s:&:S:S +%%%9;{:;}{((%$fL]RQJGfwV][!EZ{4}8 G_,;CCZCxgCbC_CwCw +000=T3wT~ngg0|>B7u8P_>m@NBCFew3J74Z % EEeEa.E\E EfEf +WWW>T3t-R]k1;K^))3)zN)8);)K)K +888AK^7K[<^C8(`v .Gy `N]5,S[4fWk8G/!=%``4`;5`Y`!`S`S +KKKg6z&6LszzKdP lQ|[~Pa7#%S8oMV2q["&fc::o: #:s:&:S:S +%%%9;{:;}C{C%$fL]RQJGfwVg[wEZ{4}8 G_v;CCZCxgCbC_CwCw +000=T3wT~n3<0|>B7u8P_>m@.BfFew3J74Z N EEeEa.E\E EfEf +WWW>T3t-R]k1KM^))3)zN)8);)K)K +888AK^7K[<<"8(`v .Gy `N]5,S[4fWk8G/SU%``4`;5`Y`!`S`S +KKKg6z&6Lss1KdP lQ|[~Pa7#%}8oMV2q["&&c::o: #:s:&:S:S +%%%9;{:;}CC:%$fL]RQJGfwVg[kEZ{4}8 G_w;CCZCxgCbC_CwCw +000=T3wT~nn]0|>B7u8P_>m@.BUFew3J74Z U EEeEa.E\E EfEf +WWW>TWo=5G\f/I=:D xw;)3t-R]k1KK^))3)zN)8);)K)K +888AK^7K[<"R8(`v .Gy `N]5,=[4fWk8G/S=%``4`;5`Y`!`S`S +KKKg6z&6Ls!gKdP lQ|[~Pa7#%}8oMV2q["Sfc::o: #:s:&:S:S +%%%9;{:;}C|~%$fL]RQJGfwVg[kEZ{4}8 Gwv;CCZCxgCbC_CwCw +000=T3wT~nB7u8P_>m@.BUFew3J74ZfN EEeEa.E\E EfEf +WWW>T3t-R]k1;C^))3)zN)8);)K)K +888AK^7K[<-l8(`v .Gy `N]5,Q[4fWk8G/!;%``4`;5`Y`!`S`S +KKKg6z&6Ls&+KdP lQ|[~Pa7#%f8oMV2q["S&c::o: #:s:&:S:S +%%%9;{:;}C:{%$fL]RQJGfwVg[!EZ{4}8 Gww;CCZCxgCbC_CwCw +000=T3wT~nwn0|>B7u8P_>m@.BCFew3J74ZfU EEeEa.E\E EfEf +WWW>T3ysw0q]k1;B^))3)zN)8);)K)K +888AK^RK[R8(`v .Gy `N]S,=[4P{#pg8G/!5%``4`;5`Y`!`S`S +KKKg6zr6LgKdP lQ|[~Pa7S%}8o?d0`^q["&ic::o: #:s:&:S:S +%%%9;{a;}~%$fL]RQJGfwVw[kEZN=Mp&8 G_X;CCZCxgCbC_CwCw +000=T3]T~p0|>B7u8P_>m@fBUFeg1X5*74Z a EEeEa.E\E EfEf +WWW>T<-Tb3ysw0q]k1;m^))3)zN)8);)K)K +888AK^RK[^l8(`v .Gy `N]S,Q[4P{#pg8G/!Q%``4`;5`Y`!`S`S +KKKg6zr6Ls+KdP lQ|[~Pa7S%f8o?d0`^q["&Oc::o: #:s:&:S:S +%%%9;{a;}C{%$fL]RQJGfwVw[!EZN=Mp&8 G_];CCZCxgCbC_CwCw +000=T3]T~nn0|>B7u8P_>m@fBCFeg1X5*74Z . EEeEa.E\E EfEf +WWW>T<-Tb&XWo=5G\f/I=:DLx`;)Z'-7g0VK5&Guu)u\ ucu5uLuL +ttt^{R"{DNHtq+*L8!@F+y`BMyzp]{ITqn!X<:OHHpH5fHGH3ysw0q]k1KB^))3)zN)8);)K)K +888AK^RK["<8(`v .Gy `N]=,=[4P{#pg8G/!!%``4`;5`Y`!`S`S +KKKg6zr6L!!KdP lQ|[~Pa7}%}8o?d0`^q["&Sc::o: #:s:&:S:S +%%%9;{a;}|i%$fL]RQJGfwVk[kEZN=Mp&8 G_k;CCZCxgCbC_CwCw +000=T3]T~B7u8P_>m@UBUFeg1X5*74Z C EEeEa.E\E EfEf +WWW>T<-TbX-Wo=5G\f/I=:Dwxw;)Z'-7g0VK5 Guu)u\ ucu5uLuL +ttt^{R"{DJ^tq+*L8!@F+y`mMmzp]{ITqn!X<;OHHpH5fHGH3ysw0q]k1Km^))3)zN)8);)K)K +888AK^RK[-78(`v .Gy `N]=,=[4P{#pg8G/SQ%``4`;5`Y`!`S`S +KKKg6zr6L1rKdP lQ|[~Pa7}%}8o?d0`^q["SOc::o: #:s:&:S:S +%%%9;{a;}i9%$fL]RQJGfwVk[kEZN=Mp&8 Gw];CCZCxgCbC_CwCw +000=T3]T~ci0|>B7u8P_>m@UBCFeg1X5*74Z EEeEa.E\E EfEf +WWW>T<-TbM^Wo=5G\f/I=:Dwx`;)Z'-7g0VK5LGuu)u\ ucu5uLuL +ttt^{R"{D ltq+*L8!@F+y`mMyzp]{ITqn!XoWWTW^-WdWZW3ysw0q]k1;z^))3)zN)8);)K)K +888AK^RK[7@8(`v .Gy `N]=,Q[4P{#pg8G/S!%``4`;5`Y`!`S`S +KKKg6zr6L&eKdP lQ|[~Pa7}%f8o?d0`^q["SSc::o: #:s:&:S:S +%%%9;{a;}a,%$fL]RQJGfwVk[!EZN=Mp&8 Gwk;CCZCxgCbC_CwCw +000=T3]T~]30|>B7u8P_>m@UBCFeg1X5*74ZfC EEeEa.E\E EfEf +WWW>T<-Tb-&Wo=5G\f/I=:Dwx`;)Z'-7g0VKL Guu)u\ ucu5uLuL +ttt^{R"{D"Jtq+*L8!@F+y`mMyzp]{ITqn!XB;OHHpH5fHGH3ysw0q]k1;N^))3)zN)8);)K)K +888AK^RK[A^8(`v .Gy `N]Q,S[4P{#pg8G/!T%``4`;5`Y`!`S`S +KKKg6zr6LgsKdP lQ|[~Pa7f%S8o?d0`^q["&uc::o: #:s:&:S:S +%%%9;{a;}9|%$fL]RQJGfwV![wEZN=Mp&8 G_x;CCZCxgCbC_CwCw +000=T3]T~=c0|>B7u8P_>m@CBfFeg1X5*74Zf EEeEa.E\E EfEf +WWW>T<-Tb>RWo=5G\f/I=:D`xL;)Z'-7g0VKLLGuu)u\ ucu5uLuL +ttt^{R"{D^"tq+*L8!@F+y`yMBzp]{ITqn!XBmOHHpH5fHGH3ysw0q]k1;|^))3)zN)8)K)m:mzK;B)K +888AK^RK[@-8(`v .Gy `N]Q,=[4P{#pg8G/!t%``4`;5`Y`S`=Vt559`S +KKKg6zr6Lf&KdP lQ|[~Pa7f%}8o?d0`^q["&vc::o: #:s:S:}.&vffu:S +%%%9;{a;}~a%$fL]RQJGfwV![kEZN=Mp&8 G_g;CCZCxgCbCwCkKvwXg,Cw +000=T3]T~i=0|>B7u8P_>m@CBUFeg1X5*74Z d EEeEa.E\EfEU=IadNfEf +WWW>T<-TbccWo=5G\f/I=:D`xw;)Z'-7g0VK5NGuu)u\ ucu5uLuL +ttt^{R"{DZGtq+*L8!@F+y`yMmzp]{ITqn!X<5OHHpH5fHGH3ysw0q]k1;;^))3)zN)8);)K)K +888AK^RK[lA8(`v .Gy `N]Q,Q[4P{#pg8G/!S%``4`;5`Y`S`=Vt5;;T`S +KKKg6zr6LefKdP lQ|[~Pa7f%f8o?d0`^q["&}c::o: #:s:S:}.ffSvO:S +%%%9;{a;}((%$fL]RQJGfwV![!EZN=Mp&8 G_!;CCZCxgCbC_CwCw +000=T3]T~3gg0|>B7u8P_>m@CBCFeg1X5*74Z I EEeEa.E\EfEU=ICfNaEf +WWW>T<-Tb3ysw0q]k1K|^))3)zN)8)K)m:MN|NM)K +888AK^RK[^^C8(`v .Gy `N]Q,Q[4P{#pg8G/St%``4`;5`Y`S`=V=TSQS`S +KKKg6zr6LzzzKdP lQ|[~Pa7f%f8o?d0`^q["Svc::o: #:s:S:}.S&fui:S +%%%9;{a;}{{C%$fL]RQJGfwVv[wEZN=Mp&8 G__;CCZCxgCbC_CwCw +000=T3]T~33<0|>B7u8P_>m@IBfFeg1X5*74Z f EEeEa.E\E EfEf +WWW>T<-Tb<3ysw0q]k1K;^))3)zN)8);)K)K +888AK^RK[^<"8(`v .Gy `N]t,S[4P{#pg8G/SS%``4`;5`Y`!`S`S +KKKg6zr6Lzs1KdP lQ|[~Pa7O%S8o?d0`^q["S}c::o: #:s:&:S:S +%%%9;{a;}{C:%$fL]RQJGfwVv[wEZN=Mp&8 Gw!;CCZCxgCbC_CwCw +000=T3]T~3n]0|>B7u8P_>m@IBfFeg1X5*74ZfI EEeEa.E\E EfEf +WWW>T<-Tb<&>Wo=5G\f/I=:D xL;)Z'-7g0VKLjGuu)u\ ucu5uLuL +ttt^{R"{DRNZtq+*L8!@F+y` Mmzp]{ITqn!X<3ysw0q]k1;@^))3)zN)8);)K)K +888AK^RK[^"R8(`v .Gy `N]t,=[4P{#pg8G/!9%``4`;5`Y`!`S`S +KKKg6zr6Lz!gKdP lQ|[~Pa7O%}8o?d0`^q["& c::o: #:s:&:S:S +%%%9;{a;}{|~%$fL]RQJGfwVv[kEZN=Mp&8 Gw_;CCZCxgCbC_CwCw +000=T3]T~3B7u8P_>m@IBUFeg1X5*74Zff EEeEa.E\E EfEf +WWW>T<-Tb3ysw0q]k1;M^))3)zN)8);)K)K +888AK^RK[^-l8(`v .Gy `N]t,Q[4P{#pg8G/!U%``4`;5`Y`S`=VSS5`S +KKKg6zr6Lz&+KdP lQ|[~Pa7O%f8o?d0`^q["&#c::o: #:s:S:}.f&&#}:S +%%%9;{a;}{:{%$fL]RQJGfwVv[!EZN=Mp&8 G_,;CCZCxgCbC_CwCw +000=T3]T~3wn0|>B7u8P_>m@IBCFeg1X5*74Z % EEeEa.E\E EfEf +WWW>T<-Tb3ysw0q]k1;K^))3)zN)8);)K)K +888AK^RK[^R<8(`v .Gy `N]U,S[4P{#pg8G/!=%``4`;5`Y`!`S`S +KKKg6zr6Lzr!KdP lQ|[~Pa7v%S8o?d0`^q["&fc::o: #:s:&:S:S +%%%9;{a;}{ai%$fL]RQJGfwV][wEZN=Mp&8 G_v;CCZCxgCbC_CwCw +000=T3]T~3]w0|>B7u8P_>m@NBfFeg1X5*74Z N EEeEa.E\E EfEf +WWW>T<-Tb<--Wo=5G\f/I=:DjxL;)Z'-7g0VK5 Guu)u\ ucu5uLuL +ttt^{R"{DR"^tq+*L8!@F+y`;MBzp]{ITqn!X<~OHHpH5fHGH3ysw0q]k1KM^))3)zN)8);)K)K +888AK^RK[^A78(`v .Gy `N]U,S[4P{#pg8G/SU%``4`;5`Y`!`S`S +KKKg6zr6LzgrKdP lQ|[~Pa7v%}8o?d0`^q["&&c::o: #:s:&:S:S +%%%9;{a;}{99%$fL]RQJGfwV][kEZN=Mp&8 G_w;CCZCxgCbC_CwCw +000=T3]T~3=i0|>B7u8P_>m@NBUFeg1X5*74Z U EEeEa.E\E EfEf +WWW>T<-Tb<>^Wo=5G\f/I=:Djxw;)Z'-7g0VK5`Guu)u\ ucu5uLuL +ttt^{R"{DRZltq+*L8!@F+y`;Mmzp]{ITqn!X< OHHpH5fHGH3ysw0q]k1KK^))3)zN)8);)K)K +888AK^RK[^@@8(`v .Gy `N]U,=[4P{#pg8G/S=%``4`;5`Y`!`S`S +KKKg6zr6LzfeKdP lQ|[~Pa7v%}8o?d0`^q["Sfc::o: #:s:&:S:S +%%%9;{a;}{(,%$fL]RQJGfwV][kEZN=Mp&8 Gwv;CCZCxgCbC_CwCw +000=T3]T~3p30|>B7u8P_>m@NBUFeg1X5*74ZfN EEeEa.E\EfEU=I..ddEf +WWW>T<-Tb<^&Wo=5G\f/I=:Djx`;)Z'-7g0VK55Guu)u\ ucu5uLuL +ttt^{R"{DRGJtq+*L8!@F+y`;Myzp]{ITqn!X3ysw0q]k1;C^))3)zN)8);)K)K +888AK^RK[B7u8P_>m@NBCFeg1X5*74ZfU EEeEa.E\E EfEf +WWW>T<-Tb&ZRWo=5G\f/I=:Djx`;)Z'-7g0VKL`Guu)u\ ucu5uLuL +ttt^{R"{DNl"tq+*L8!@F+y`;Myzp]{ITqn!XB OHHpH5fHGH3ysw0q]k1;B^))3)zN)8);)K)K +888AK^RK[<^-8(`v .Gy `N]5,S[4P{#pg8G/!5%``4`;5`Y`!`S`S +KKKg6zr6Lsz&KdP lQ|[~Pa7#%S8o?d0`^q["&ic::o: #:s:&:S:S +%%%9;{a;}C{a%$fL]RQJGfwVg[wEZN=Mp&8 G_X;CCZCxgCbC_CwCw +000=T3]T~n3=0|>B7u8P_>m@.BfFeg1X5*74Z a EEeEa.E\E EfEf +WWW>T<-Tb&3ysw0q]k1;m^))3)zN)8);)K)K +888AK^RK[<B7u8P_>m@.BUFeg1X5*74Z . EEeEa.E\E EfEf +WWW>T<-Tb&X3ysw0q]k1KB^))3)zN)8);)K)K +888AK^RK[<-C8(`v .Gy `N]5,Q[4P{#pg8G/!!%``4`;5`Y`!`S`S +KKKg6zr6Ls1zKdP lQ|[~Pa7#%f8o?d0`^q["&Sc::o: #:s:&:S:S +%%%9;{a;}CiC%$fL]RQJGfwVg[!EZN=Mp&8 G_k;CCZCxgCbC_CwCw +000=T3]T~nc<0|>B7u8P_>m@.BCFeg1X5*74Z C EEeEa.E\E EfEf +WWW>T<-Tb&MMWo=5G\f/I=:D x`;)Z'-7g0VK5 Guu)u\ ucu5uLuL +ttt^{R"{DNH tq+*L8!@F+y`fMyzp]{ITqn!X<;OHHpH5fHGH3ysw0q]k1Km^))3)zN)8);)K)K +888AK^RK[<7"8(`v .Gy `N]5,Q[4P{#pg8G/SQ%``4`;5`Y`!`S`S +KKKg6zr6Ls&1KdP lQ|[~Pa7#%f8o?d0`^q["SOc::o: #:s:&:S:S +%%%9;{a;}C::%$fL]RQJGfwVg[!EZN=Mp&8 Gw];CCZCxgCbC_CwCw +000=TB7u8P_>m@fBUFe,1&74Zx1oqs5ZZoWWTW<^&WdWZWTXMTbRWo=5G\f/I=:DLx`;)='X0VKW'*1A*lRqtt{tRGNt/tltRtR +HHH~py p4fHOARoYq+dAbdKX|>3Us?]k1`s=DTvC<(88K8^l<8s8C8^8^ +```T4Qt4RT`%s*(2g\$se)zH!p6~3 2nYJ3`Kl~&fc::o:S }:s:&:S:S +%%%9;|i;}~%$fL]RQJGfwVk[kEZA=28 G'=/;)Bgg|00T03pn060g0303 +EEEdeCIe^aE 6&?P*r,6[6&D&;TI75P+L(7yM{X5LGuu)uL\wucu5uLuL +ttt^{JH{DRltq+*L8!@F+y`mMmzpd{Rn!X({gp.?;m^))3)Kzm)8);)K)K +888AK"-K[^^8(`v .Gy `N]=,=[4${*8G/E{: U +!dKK6KzesKuK+KzKz +:::iofOoYS}:cA~b!^aDA\.C*|^;G L7c-U r9%2__;CCZCwxkCbC_CwCw +000=TB7u8P_>m@UBCFe,1&74Zx1oqs5ZTXMTb3Us?]k1`s=DTvC"(88K8^l<8s8C8^8^ +```T4Qt4R=!`%s*(2g\$se)!Hsp6~3 2nYJ3`Kl~&&c::o:S }:s:&:S:S +%%%9;|i;}C{%$fL]RQJGfwV![kEZA=28 G'=/;)Bg3|00T03pn060g0303 +EEEdeCIe^UUE 6&?P*r,6[6XD&;TI75P+L(7yM{X5wGuu)uL\wucu5uLuL +ttt^{JH{DNJtq+*L8!@F+y`yMmzpd{Rn!X({gp.?;|^))3)Kzm)8);)K)K +888AK"-K[<-8(`v .Gy `N]Q,Q[4${*8G/E{: U ++dKK6KzesKuK+KzKz +:::iofOoY}v:cA~b!^aDA\.|*|^;G L7c-U r9%2_w;CCZCwxkCbC_CwCw +000=TB7u8P_>m@CBCFe,1&74Zx1oqs5Z&oWWTW<^&WdWZWTXMTbX3Us?]k1`s=DTvCC(88K8^l<8s8C8^8^ +```T4Qt4RQQ`%s*(2g\$se)1Hsp6~3 2nYJ3`Kl~&Sc::o:S }:s:&:S:S +%%%9;|i;}|i%$fL]RQJGfwVv[kEZA=28 G'=/;)Bgn|00T03pn060g0303 +EEEdeCIe^CNE 6&?P*r,6[6MD&;TI75P+L(7yM{X5`Guu)uL\wucu5uLuL +ttt^{JH{DJ"tq+*L8!@F+y` Myzpd{Rn!X({gp.?;;^))3)Kzm)8);)K)K +888AK"-K["A8(`v .Gy `N]t,Q[4${*8G/E{: U +zdKK6KzesKuK+KzKz +:::iofOoYfu:cA~b!^aDA\.i*|^;G L7c-U r9%2_k;CCZCwxkCbC_CwCw +000=TB7u8P_>m@IBCFe,1&74Zx1oqs5ZXoWWTW<^&WdWZWTXMTbMMWo=5G\f/I=:Djxw;)='X0VKW'*1A*llqtt{tRGNt/tltRtR +HHH~py p4 ;HOARoYq+dAbdBXm>3Us?]k1`s=DTvC^(88K8^l<8s8C8^8^ +```T4Qt4Rt5`%s*(2g\$se)&Hsp6~3 2nYJ3`Kl~&}c::o:S }:s:&:S:S +%%%9;|i;}i9%$fL]RQJGfwV][kEZA=28 G'=/;)Bg<|00T03pn060g0303 +EEEdeCIe^I%E 6&?P*r,6[6RDX;TI75P+L(7yM{X55Guu)uL\wucu5uLuL +ttt^{JH{DHGtq+*L8!@F+y`;Myzpd{Rn!X({gp.?;K^))3)Kzm)8);)K)K +888AK"-K[7C8(`v .Gy `N]U,Q[4${*8G/E{: U +sdKK6KzesKuK+KzKz +:::iofOoYvS:cA~b!^aDA\.:*|^;G L7c-U r9%2_!;CCZCwxkCbC_CwCw +000=TB7u8P_>m@.BfFe,1&74Zx1oqs5ZZoWWTW<^&WdWZWTXMTbR>Wo=5G\f/I=:D xw;)='X0VKW'*1A*lRqtt{tRGNt/tltRtR +HHH~py p4;:HOARoYq+dAbdNXm>3Us?]k1`s=DTvC<(88K8^l<8s8C8^8^ +```T4Qt4RU;`%s*(2g\$se)rHsp6~3 2nYJ3`Kl~&fc::o:S }:s:&:S:S +%%%9;|i;}a,%$fL]RQJGfwVg[!EZA=28 G'=/;)Bgg|00T03pn060g0303 +EEEdeCIe^.fE 6&?P*r,6[6-DX;TI75P+L(7yM{X5LGuu)uL\wucu5uLuL +ttt^{JH{D"Ntq+*L8!@F+y`fMyzpd{Rn!X({gp.?;m^))3)Kzm)8);)K)K +888AK"-K[R"8(`v .Gy `N]5,Q[4${*8G/E{: U +!dKK6KzesKuK+KzKz +:::iofvoY&:cA~b!^aDA\.{*C^;"RKB7c-U r9%2__;CCZCxgCbC_CwCw +000=TB7u8P_>m@fBUFe(P5J74Zx1oqs5ZTXRTb-Wo=5G\f/I=:DLxw;)Ov7R0VKW'*1A*l"qtt{tG"t/tltRtR +HHH~py;p4~HOARoYq+dAbdKXm>3"l0R]k1`s=DTvCA(88K8lR8s8C8^8^ +```T4QU4R9`%s*(2g\$se)zHsp6_Q{T2nYJ3`Kl~&uc::o: #:s:&:S:S +%%%9;|:;}(%$fL]RQJGfwVw[kEZ:fp}8 G'=/;)Bgp|00T0p]060g0303 +EEEdeCNe^f E 6&?P*r,6[6B7u8P_>m@fBUFe(P5J74Zx1oqs5TXRTb<^Wo=5G\f/I=:DLxw;)Ov7R0VKW'*1A*RGqtt{tG"t/tltRtR +HHH~py;p4m3"l0R]k1`s=DTvB7u8P_>m@fBUFe(P5J74Zx1oqs5&>oWWTW^-WdWZWTXRTbX&Wo=5G\f/I=:DLx`;)Ov7R0VKW'*1A*llqtt{tG"t/tltRtR +HHH~py;p4yyHOARoYq+dAbdKX|>3"l0R]k1`s=DTvC^(88K8lR8s8C8^8^ +```T4QU4RQt`%s*(2g\$se)zH!p6_Q{T2nYJ3`Kl~&}c::o: #:s:&:S:S +%%%9;|:;}|:%$fL]RQJGfwVw[!EZ:fp}8 G'=/;)Bg<|00T0p]060g0303 +EEEdeCNe^C.E 6&?P*r,6[6B7u8P_>m@fBCFe(P5J74Zx1oqs5ZcoWWTW^-WdWZWTXRTbMRWo=5G\f/I=:DLx`;)Ov7R0VKW'*1A*RJqtt{tG"t/tltRtR +HHH~py;p4 fHOARoYq+dAbdKX|>3"l0R]k1`s=DTv^-(88K8lR8s8C8^8^ +```T4QU4RtT`%s*(2g\$se)zH!p6_Q{T2nYJ3`Kl~Svc::o: #:s:&:S:S +%%%9;|:;}i~%$fL]RQJGfwVw[!EZ:fp}8 G'=/;)B3]|00T0p]060g0303 +EEEdeCNe^IaE 6&?P*r,6[6B7u8P_>m@fBCFe(P5J74Zx1oqs5&TXRTbRcWo=5G\f/I=:DLx`;)Ov7R0VKW'*1A*N"qtt{tG"t/tltRtR +HHH~py;p4;5HOARoYq+dAbdKX|>3"l0R]k1`s=DTvB7u8P_>m@UBUFe(P5J74Zx1oqs5Z&oWWTW^-WdWZWTXRTb>3"l0R]k1`s=DTvC@(88K8lR8s8C8^8^ +```T4QU4RTQ`%s*(2g\$se)sHsp6_Q{T2nYJ3`Kl~& c::o: #:s:&:S:S +%%%9;|:;}9i%$fL]RQJGfwVk[kEZ:fp}8 G'=/;)B3g|00T0p]060g0303 +EEEdeCNe^dNE 6&?P*r,6[6&D&;Tl\H!P+L(7yM{XLLGuu)u\ ucu5uLuL +ttt^{J {D^"tq+*L8!@F+y`mMmzpKYT0n!X({gp.?Km^))3)zN)8);)K)K +888AK"7K[AA8(`v .Gy `N]=,=[4I2pk8G/E{: U z!dKK6KerKuK+KzKz +:::iofvoYiu:cA~b!^aDA\.C*C^;"RKB7c-U r9%2wv;CCZCxgCbC_CwCw +000=TB7u8P_>m@UBUFe(P5J74Zx1oqs5TXRTbcMWo=5G\f/I=:Dwxw;)Ov7R0VKW'*1A*Nlqtt{tG"t/tltRtR +HHH~py;p4:;HOARoYq+dAbdmXm>3"l0R]k1`s=DTv<^(88K8lR8s8C8^8^ +```T4QU4R95`%s*(2g\$se)sHsp6_Q{T2nYJ3`Kl~}}c::o: #:s:&:S:S +%%%9;|:;}~9%$fL]RQJGfwVk[kEZ:fp}8 G'=/;)Bn<|00T0p]060g0303 +EEEdeCNe^%%E 6&?P*r,6[6&D&;Tl\H!P+L(7yM{Xw Guu)u\ ucu5uLuL +ttt^{J {DZGtq+*L8!@F+y`mMmzpKYT0n!X({gp.?mB^))3)zN)8);)K)K +888AK"7K[lC8(`v .Gy `N]=,=[4I2pk8G/E{: U srdKK6KerKuK+KzKz +:::iofvoY S:cA~b!^aDA\.C*C^;"RKB7c-U r9%2k,;CCZCxgCbC_CwCw +000=TB7u8P_>m@UBUFe(P5J74Zx1oqs5&coWWTW^-WdWZWTXRTb^>Wo=5G\f/I=:Dwx`;)Ov7R0VKW'*1A*lRqtt{tG"t/tltRtR +HHH~py;p45:HOARoYq+dAbdmX|>3"l0R]k1`s=DTvC<(88K8lR8s8C8^8^ +```T4QU4R;;`%s*(2g\$se)sH!p6_Q{T2nYJ3`Kl~&fc::o: #:s:&:S:S +%%%9;|:;}{,,%$fL]RQJGfwVk[!EZ:fp}8 G'=/;)Bgc|00T0p]060g0303 +EEEdeCNe^f fE 6&?P*r,6[6&DX;Tl\H!P+L(7yM{X5jGuu)u\ ucu5uLuL +ttt^{J {DRlNtq+*L8!@F+y`mMyzpKYT0n!X({gp.?;N^))3)zN)8);)K)K +888AK"7K[^C"8(`v .Gy `N]=,Q[4I2pk8G/E{: U +gdKK6KerKuK+KzKz +:::iofvoYS&O:cA~b!^aDA\.C*|^;"RKB7c-U r9%2_X;CCZCxgCbC_CwCw +000=TB7u8P_>m@UBCFe(P5J74Zx1oqs5Z^oWWTW^-WdWZWTXRTb<3"l0R]k1`s=DTv^7(88K8lR8s8C8^8^ +```T4QU4RSS=`%s*(2g\$se)sH!p6_Q{T2nYJ3`Kl~S#c::o: #:s:&:S:S +%%%9;|:;}{{|%$fL]RQJGfwVk[!EZ:fp}8 G'=/;)B3=|00T0p]060g0303 +EEEdeCNe^ffIE 6&?P*r,6[6&DX;Tl\H!P+L(7yM{XLNGuu)u\ ucu5uLuL +ttt^{J {DRR tq+*L8!@F+y`mMyzpKYT0n!X({gp.?Kz^))3)zN)8);)K)K +888AK"7K[^^R8(`v .Gy `N]=,Q[4I2pk8G/E{: U s+dKK6KerKuK+KzKz +:::iofvoYSSi:cA~b!^aDA\.C*|^;"RKB7c-U r9%2kw;CCZCxgCbC_CwCw +000=TB7u8P_>m@UBCFe(P5J74Zx1oqs5&&oWWTW^-WdWZWTXRTb<&XWo=5G\f/I=:Dwx`;)Ov7R0VKW'*1A*N^qtt{tG"t/tltRtR +HHH~py;p4Bm HOARoYq+dAbdmX|>3"l0R]k1`s=DTv<@(88K8lR8s8C8^8^ +```T4QU4RS=U`%s*(2g\$se)sH!p6_Q{T2nYJ3`Kl~} c::o: #:s:&:S:S +%%%9;|:;}{Ca%$fL]RQJGfwVk[!EZ:fp}8 G'=/;)BB7u8P_>m@CBfFe(P5J74Zx1oqs5ZXoWWTW^-WdWZWTXRTb3"l0R]k1`s=DTvCl(88K8lR8s8C8^8^ +```T4QU4RSQ9`%s*(2g\$se)!Hzp6_Q{T2nYJ3`Kl~S&c::o: #:s:&:S:S +%%%9;|:;}{|(%$fL]RQJGfwV![wEZ:fp}8 G'=/;)B33|00T0p]060g0303 +EEEdeCNe^fI E 6&?P*r,6[6XD<;Tl\H!P+L(7yM{XLwGuu)u\ ucu5uLuL +ttt^{J {DRHRtq+*L8!@F+y`yMBzpKYT0n!X({gp.?K|^))3)zN)8);)K)K +888AK"7K[^-<8(`v .Gy `N]Q,S[4I2pk8G/E{: U z1dKK6KerKuK+KzKz +:::iofvoYSOf:cA~b!^aDA\.|*{^;"RKB7c-U r9%2w];CCZCxgCbC_CwCw +000=TB7u8P_>m@CBfFe(P5J74Zx1oqs5<-oWWTW^-WdWZWTXRTb3"l0R]k1`s=DTv<<(88K8lR8s8C8^8^ +```T4QU4RSUS`%s*(2g\$se)!Hzp6_Q{T2nYJ3`Kl~}fc::o: #:s:&:S:S +%%%9;|:;}{:C%$fL]RQJGfwV![wEZ:fp}8 G'=/;)Bnc|00T0p]060g0303 +EEEdeCNe^fNCE 6&?P*r,6[6XD<;Tl\H!P+L(7yM{XwjGuu)u\ ucu5uLuL +ttt^{J {DR Htq+*L8!@F+y`yMBzpKYT0n!X({gp.?mN^))3)zN)8);)K)K +888AK"7K[^778(`v .Gy `N]Q,S[4I2pk8G/E{: U sgdKK6KerKuK+KzKz +:::iofvoYSv#:cA~b!^aDA\.|*{^;"RKB7c-U r9%2kX;CCZCxgCbC_CwCw +000=TB7u8P_>m@CBfFe(P5J74Zx1oqs5&^oWWTW^-WdWZWTXRTb<-&Wo=5G\f/I=:D`xw;)Ov7R0VKW'*1A*lNqtt{tG"t/tltRtR +HHH~py;p4BfyHOARoYq+dAbd|Xm>3"l0R]k1`s=DTvC"(88K8lR8s8C8^8^ +```T4QU4RS5t`%s*(2g\$se)!Hsp6_Q{T2nYJ3`Kl~&Oc::o: #:s:S:}.f& i:S +%%%9;|:;}{a:%$fL]RQJGfwV![kEZ:fp}8 G'=/;)Bgw|00T0p]06030nbg]]3i03 +EEEdeCNe^f..E 6&?P*r,6[6XD&;Tl\H!P+L(7yM{X5 Guu)u\ ucuLuwQL \`NuL +ttt^{J {DR"^tq+*L8!@F+y`yMmzpKYT0n!X({gp.?;@^))3)zN)8)K)m:K;|zN)K +888AK"7K[^R@8(`v .Gy `N]Q,=[4I2pk8G/E{: U +fdKK6KerKuKzKsSss1egKz +:::iofvoYS# :cA~b!^aDA\.|*C^;"RKB7c-U r9%2_x;CCZCxgCbCwCkKw!,X,Cw +000=TB7u8P_>m@CBUFe(P5J74Zx1oqs5X&W< +uuu&)`j)[L&LuG$Xqvgd=$EkJmNV{=8\?a&_P4-l/RBBOHHpH5fHGHBHmPyTXRTb<>RWo=5G\f/I=:D`xw;)Ov7R0VKW'*1A*R qtt{tG"t/tRtNsRHJHNtR +HHH~py;p4B~fHOARoYq+dAbd|Xm>3"l0R]k1`s=DTv^R(88K8lR8s8C8^8^ +```T4QU4RSTT`%s*(2g\$se)!Hsp6_Q{T2nYJ3`Kl~Sic::o: #:s:&:S:S +%%%9;|:;}{9~%$fL]RQJGfwV![kEZ:fp}8 G'=/;)B3i|00T0p]060g0303 +EEEdeCNe^fdaE 6&?P*r,6[6XD&;Tl\H!P+L(7yM{XL\Guu)u\ ucu5uLuL +ttt^{J {DRZltq+*L8!@F+y`yMmzpKYT0n!X({gp.?m;^))3)zN)8);)K)K +888AK"7K[^@^8(`v .Gy `N]Q,=[4I2pk8G/E{: U szdKK6KerKuK+KzKz +:::iofvoYSu}:cA~b!^aDA\.|*C^;"RKB7c-U r9%2kk;CCZCxgCbC_CwCw +000=TB7u8P_>m@CBUFe(P5J74Zx1oqs5&XoWWTW^-WdWZWTXRTb3"l0R]k1`s=DTvB7u8P_>m@CBCFe(P5J74Zx1oqs5ZMoWWTW^-WdWZWTXRTb&Z3"l0R]k1`s=DTv^C(88K8lR8s8C8^8^ +```T4QU4R=!Q`%s*(2g\$se)!H!p6_Q{T2nYJ3`Kl~SSc::o: #:s:&:S:S +%%%9;|:;}C,i%$fL]RQJGfwV![!EZ:fp}8 G'=/;)B3n|00T0p]06030nb3B7u8P_>m@CBCFe(P5J74Zx1oqs5<>oWWTW^-WdWZWTXRTb&3"l0R]k1`s=DTv<"(88K8lR8s8C8^8^ +```T4QU4R=S5`%s*(2g\$se)!H!p6_Q{T2nYJ3`Kl~}Oc::o: #:s:&:S:S +%%%9;|:;}C{9%$fL]RQJGfwV![!EZ:fp}8 G'=/;)Bnw|00T0p]060g0303 +EEEdeCNe^Uf%E 6&?P*r,6[6XDX;Tl\H!P+L(7yM{Xw Guu)u\ ucu5uLuL +ttt^{J {DNRGtq+*L8!@F+y`yMyzpKYT0n!X({gp.?m@^))3)zN)8);)K)K +888AK"7K[<B7u8P_>m@CBCFe(P5J74Zx1oqs5XZoWWTW^-WdWZWTXRTb&&>Wo=5G\f/I=:D xL;)Ov7R0VKW'*1A*lJqtt{tG"t/tltRtR +HHH~py;p4mm:HOARoYq+dAbdMXK>3"l0R]k1`s=DTvC-(88K8lR8s8C8^8^ +```T4QU4R==;`%s*(2g\$se)1Hzp6_Q{T2nYJ3`Kl~&vc::o: #:s:&:S:S +%%%9;|:;}C|,%$fL]RQJGfwVv[wEZ:fp}8 G'=/;)Bg]|00T0p]060g0303 +EEEdeCNe^UCfE 6&?P*r,6[6MD<;Tl\H!P+L(7yM{X5&Guu)u\ ucu5uLuL +ttt^{J {DNJNtq+*L8!@F+y` MBzpKYT0n!X({gp.?;C^))3)zN)8);)K)K +888AK"7K[<""8(`v .Gy `N]t,S[4I2pk8G/E{: U +edKK6KerKuK+KzKz +:::iofvoY}fO:cA~b!^aDA\.i*{^;"RKB7c-U r9%2w_;CCZCxgCbC_CwCw +000=TB7u8P_>m@IBfFe(P5J74Zx1oqs5<TXRTb&MZWo=5G\f/I=:D xL;)Ov7R0VKW'*1A*R"qtt{tG"t/tltRtR +HHH~py;p4m BHOARoYq+dAbdMXK>3"l0R]k1`s=DTv^A(88K8lR8s8C8^8^ +```T4QU4R=t=`%s*(2g\$se)1Hzp6_Q{T2nYJ3`Kl~Suc::o: #:s:&:S:S +%%%9;|:;}Ci|%$fL]RQJGfwVv[wEZ:fp}8 G'=/;)B3p|00T0p]060g0303 +EEEdeCNe^UIIE 6&?P*r,6[6MD<;Tl\H!P+L(7yM{Xw5Guu)u\ ucu5uLuL +ttt^{J {DNH tq+*L8!@F+y` MBzpKYT0n!X({gp.?mK^))3)zN)8);)K)K +888AK"7K[<-R8(`v .Gy `N]t,S[4I2pk8G/E{: U ssdKK6KerKuK+KzKz +:::iofvoY}Oi:cA~b!^aDA\.i*{^;"RKB7c-U r9%2k!;CCZCxgCbC_CwCw +000=TB7u8P_>m@IBfFe(P5J74Zx1oqs5&MoWWTW^-WdWZWTXRTb&RXWo=5G\f/I=:D xL;)Ov7R0VKW'*1A*NGqtt{tG"t/tltRtR +HHH~py;p4m; HOARoYq+dAbdMXK>3"l0R]k1`s=DTv"C(88K8lR8s8C8^8^ +```T4QU4R=UU`%s*(2g\$se)1Hzp6_Q{T2nYJ3`Kl~fSc::o: #:s:&:S:S +%%%9;|:;}C:a%$fL]RQJGfwVv[kEZ:fp}8 G'=/;)Bgg|00T0p]060g0303 +EEEdeCNe^UNdE 6&?P*r,6[6MD&;Tl\H!P+L(7yM{X5LGuu)u\ ucu5uLuL +ttt^{J {DN Ztq+*L8!@F+y` MmzpKYT0n!X({gp.?;m^))3)zN)8);)K)K +888AK"7K[<7l8(`v .Gy `N]t,=[4I2pk8G/E{: U +!dKK6KerKuK+KzKz +:::iofvoY}#&:cA~b!^aDA\.i*C^;"RKB7c-U r9%2_v;CCZCxgCbC_CwCw +000=TB7u8P_>m@IBUFe(P5J74Zx1oqs5ZRoWWTW^-WdWZWTXRTb&--Wo=5G\f/I=:D xw;)Ov7R0VKW'*1A*Rlqtt{tG"t/tltRtR +HHH~py;p4mf~HOARoYq+dAbdMXm>3"l0R]k1`s=DTv^^(88K8lR8s8C8^8^ +```T4QU4R=59`%s*(2g\$se)1Hsp6_Q{T2nYJ3`Kl~S}c::o: #:s:&:S:S +%%%9;|:;}Ca(%$fL]RQJGfwVv[kEZ:fp}8 G'=/;)B3<|00T0p]060g0303 +EEEdeCNe^Ud E 6&?P*r,6[6MD&;Tl\H!P+L(7yM{XL Guu)u\ ucu5uLuL +ttt^{J {DN^Rtq+*L8!@F+y` MmzpKYT0n!X({gp.?KB^))3)zN)8);)K)K +888AK"7K[B7u8P_>m@IBUFe(P5J74Zx1oqs5TXRTb&>^Wo=5G\f/I=:D xw;)Ov7R0VKW'*1A*NJqtt{tG"t/tltRtR +HHH~py;p4m:3"l0R]k1`s=DTv<-(88K8lR8s8C8^8^ +```T4QU4R=9S`%s*(2g\$se)1Hsp6_Q{T2nYJ3`Kl~}vc::o: #:s:&:S:S +%%%9;|:;}C~C%$fL]RQJGfwVv[kEZ:fp}8 G'=/;)Bn]|00T0p]060g0303 +EEEdeCNe^U%CE 6&?P*r,6[6MD&;Tl\H!P+L(7yM{Xw&Guu)u\ ucu5uLuL +ttt^{J {DNZHtq+*L8!@F+y` MmzpKYT0n!X({gp.?mC^))3)zN)8);)K)K +888AK"7K[<@78(`v .Gy `N]t,=[4I2pk8G/E{: U sedKK6KerKuK+KzKz +:::iofvoY}u#:cA~b!^aDA\.i*C^;"RKB7c-U r9%2!_;CCZCxgCbC_CwCw +000=TB7u8P_>m@IBUFe(P5J74Zx1oqs5XTXRTb&^&Wo=5G\f/I=:D x`;)Ov7R0VKW'*1A*lHqtt{tG"t/tltRtR +HHH~py;p4m5yHOARoYq+dAbdMX|>3"l0R]k1`s=DTvC7(88K8lR8s8C8^8^ +```T4QU4R=;t`%s*(2g\$se)1H!p6_Q{T2nYJ3`Kl~&#c::o: #:s:&:S:S +%%%9;|:;}C(:%$fL]RQJGfwVv[!EZ:fp}8 G'=/;)Bg=|00T0p]060g0303 +EEEdeCNe^Ua.E 6&?P*r,6[6MDX;Tl\H!P+L(7yM{X5NGuu)u\ ucu5uLuL +ttt^{J {DNG^tq+*L8!@F+y` MyzpKYT0n!X({gp.?;z^))3)zN)8);)K)K +888AK"7K[B7u8P_>m@IBCFe(P5J74Zx1oqs5<&oWWTW^-WdW<^-W< +uuu&)`j)[`5LuG$Xqvgd=$EkHmJV{=8\?a&_P4-l/RByOHHpH5fHGHBHmP ffHB +)))@3|B3'|;m)^f?{lqPUfh5-I"1Kr.0j%b!6cY-F*St%``4`;5`Y`!`S`S +KKKg6!&6L!+!KdP lQ|[~Pa7O%f8og!`2q["Wd|j\L{:$%%;%(a%x%,%{%{ +CCC,Z!]Z~!_vC;|2rf&?A|P#c}<:T1udv.ho\(N)}&f. EEeEa.E\E EfEf +WWW>TXRTbXZRWo=5G\f/I=:D x`;)Ov7R0VKW'*1A*R^qtt{tG"t/tltRtR +HHH~py;p4y3"l0R]k1`s=DTv^@(88K8lR8s8C8^8^ +```T4QU4RQ!T`%s*(2g\$se)1H!p6_Q{T2nYJ3`Kl~S c::o: #:s:&:S:S +%%%9;|:;}|,~%$fL]RQJGfwVv[!EZ:fp}8 G'=/;)Bng|00T0p]060g0303 +EEEdeCNe^C aE 6&?P*r,6[6MDX;Tl\H!P+L(7yM{XwLGuu)u\ ucu5uLuL +ttt^{J {DJRltq+*L8!@F+y` MyzpKYT0n!X({gp.?mm^))3)zN)8);)K)K +888AK"7K["^^8(`v .Gy `N]t,Q[4I2pk8G/E{: U s!dKK6KerKuK+KzKz +:::iofvoYfS}:cA~b!^aDA\.i*|^;"RKB7c-U r9%2kv;CCZCxgCbC_CwCw +000=TB7u8P_>m@IBCFe(P5J74Zx1oqs5&RoWWTW^-WdWZWTXRTbX3"l0R]k1`s=DTv"^(88K8lR8s8C8^8^ +```T4QU4RQ=!`%s*(2g\$se)&Hzp6_Q{T2nYJ3`Kl~&&c::o: #:s:&:S:S +%%%9;|:;}|C{%$fL]RQJGfwV][wEZ:fp}8 G'=/;)Bg3|00T0p]060g0303 +EEEdeCNe^CUUE 6&?P*r,6[6RD<;Tl\H!P+L(7yM{X5wGuu)u\ ucu5uLuL +ttt^{J {DJNJtq+*L8!@F+y`;MBzpKYT0n!X({gp.?;|^))3)zN)8);)K)K +888AK"7K["<-8(`v .Gy `N]U,S[4I2pk8G/E{: U +1dKK6KerKuK+KzKz +:::iofvoYf}v:cA~b!^aDA\.:*{^;"RKB7c-U r9%2_];CCZCxgCbC_CwCw +000=TB7u8P_>m@NBfFe(P5J74Zx1oqs5Z-oWWTW^-WdWZWTXRTbXX3"l0R]k1`s=DTv^<(88K8lR8s8C8^8^ +```T4QU4RQQQ`%s*(2g\$se)&Hzp6_Q{T2nYJ3`Kl~Sfc::o: #:s:&:S:S +%%%9;|:;}||i%$fL]RQJGfwV][wEZ:fp}8 G'=/;)B3c|00T0p]060g0303 +EEEdeCNe^CCNE 6&?P*r,6[6RD<;Tl\H!P+L(7yM{XLjGuu)u\ ucu5uLuL +ttt^{J {DJJ"tq+*L8!@F+y`;MBzpKYT0n!X({gp.?KN^))3)zN)8);)K)K +888AK"7K[""A8(`v .Gy `N]U,S[4I2pk8G/E{: U zgdKK6KerKuK+KzKz +:::iofvoYffu:cA~b!^aDA\.:*{^;"RKB7c-U r9%2wX;CCZCxgCbC_CwCw +000=TB7u8P_>m@NBfFe(P5J74Zx1oqs5<^oWWTW^-WdWZWTXRTbXMMWo=5G\f/I=:DjxL;)Ov7R0VKW'*1A*NHqtt{tG"t/tltRtR +HHH~py;p4y ;HOARoYq+dAbdBXK>3"l0R]k1`s=DTv<7(88K8lR8s8C8^8^ +```T4QU4RQt5`%s*(2g\$se)&Hzp6_Q{T2nYJ3`Kl~}#c::o: #:s:&:S:S +%%%9;|:;}|i9%$fL]RQJGfwV][wEZ:fp}8 G'=/;)Bn=|00T0p]060g0303 +EEEdeCNe^CI%E 6&?P*r,6[6RD<;Tl\H!P+L(7yM{XwNGuu)u\ ucu5uLuL +ttt^{J {DJHGtq+*L8!@F+y`;MBzpKYT0n!X({gp.?mz^))3)zN)8);)K)K +888AK"7K["7C8(`v .Gy `N]U,S[4I2pk8G/E{: U !+dKK6KerKuK+KzKz +:::iofvoYfvS:cA~b!^aDA\.:*{^;"RKB7c-U r9%2!w;CCZCxgCbC_CwCw +000=TB7u8P_>m@NBUFe(P5J74Zx1oqs5ZZoWWTW^-WdWZWTXRTbXR>Wo=5G\f/I=:Djxw;)Ov7R0VKW'*1A*l qtt{tG"t/tltRtR +HHH~py;p4y;:HOARoYq+dAbdBXm>3"l0R]k1`s=DTvCR(88K8lR8s8C8^8^ +```T4QU4RQU;`%s*(2g\$se)&Hsp6_Q{T2nYJ3`Kl~&ic::o: #:s:&:S:S +%%%9;|:;}|a,%$fL]RQJGfwV][kEZ:fp}8 G'=/;)Bgi|00T0p]060g0303 +EEEdeCNe^C.fE 6&?P*r,6[6RD&;Tl\H!P+L(7yM{X5\Guu)u\ ucu5uLuL +ttt^{J {DJ"Ntq+*L8!@F+y`;MmzpKYT0n!X({gp.?K;^))3)zN)8);)K)K +888AK"7K["R"8(`v .Gy `N]U,=[4I2pk8G/E{: U zzdKK6KerKuK+KzKz +:::iofvoYf#O:cA~b!^aDA\.:*C^;"RKB7c-U r9%2wk;CCZCxgCbC_CwCw +000=TB7u8P_>m@NBUFe(P5J74Zx1oqs5TXRTbX>ZWo=5G\f/I=:Djxw;)Ov7R0VKW'*1A*RZqtt{tG"t/tltRtR +HHH~py;p4y~BHOARoYq+dAbdBXm>3"l0R]k1`s=DTv^l(88K8lR8s8C8^8^ +```T4QU4RQT=`%s*(2g\$se)&Hsp6_Q{T2nYJ3`Kl~}&c::o: #:s:&:S:S +%%%9;|:;}|9|%$fL]RQJGfwV][kEZ:fp}8 G'=/;)Bn3|00T0p]060g0303 +EEEdeCNe^CdIE 6&?P*r,6[6RD&;Tl\H!P+L(7yM{XwwGuu)u\ ucu5uLuL +ttt^{J {DJ^ tq+*L8!@F+y`;MmzpKYT0n!X({gp.?m|^))3)zN)8);)K)K +888AK"7K["AR8(`v .Gy `N]U,=[4I2pk8G/E{: U s1dKK6KerKuK+KzKz +:::iofvoYfii:cA~b!^aDA\.:*C^;"RKB7c-U r9%2k];CCZCxgCbC_CwCw +000=TB7u8P_>m@NBUFe(P5J74Zx1oqs5&-oWWTW^-WdWZWTXRTbXcXWo=5G\f/I=:Djxw;)Ov7R0VKW'*1A*JRqtt{tG"t/tRtNsR"GHZtR +HHH~py;p4y: HOARoYq+dAbdBX|>3"l0R]k1`s=DTvCC(88K8lR8s8C8^8^ +```T4QU4RQ9U`%s*(2g\$se)&H!p6_Q{T2nYJ3`Kl~&Sc::o: #:s:&:S:S +%%%9;|:;}|~a%$fL]RQJGfwV][!EZ:fp}8 G'=/;)Bgn|00T0p]060g0303 +EEEdeCNe^C%dE 6&?P*r,6[6RDX;Tl\H!P+L(7yM{X5`Guu)u\ ucu5uLuL +ttt^{J {DJZZtq+*L8!@F+y`;MyzpKYT0n!X({gp.?;M^))3)zN)8);)K)K +888AK"7K["@l8(`v .Gy `N]U,Q[4I2pk8G/E{: U +&dKK6KerKuK+KzKz +:::iofvoYf &:cA~b!^aDA\.:*|^;"RKB7c-U r9%2_g;CCZCxgCbC_CwCw +000=TB7u8P_>m@NBCFe(P5J74Zx1oqs5Z>oWWTW^-WdWZWTXRTbX^-Wo=5G\f/I=:Djx`;)Ov7R0VKW'*1A*RNqtt{tG"t/tltRtR +HHH~py;p4y5~HOARoYq+dAbdBX|>3"l0R]k1`s=DTv^"(88K8lR8s8C8^8^ +```T4QU4RQ;9`%s*(2g\$se)&H!p6_Q{T2nYJ3`Kl~SOc::o: #:s:&:S:S +%%%9;|:;}|((%$fL]RQJGfwV][!EZ:fp}8 G'=/;)B3w|00T0p]060g0303 +EEEdeCNe^I E 6&?P*r,6[6RDX;Tl\H!P+L(7yM{XL Guu)u\ ucu5uLuL +ttt^{J {DHlRtq+*L8!@F+y`;MyzpKYT0n!X({gp.?K@^))3)zN)8);)K)K +888AK"7K[-C<8(`v .Gy `N]U,Q[4I2pk8G/E{: U zfdKK6KerKuKzKsSss+sfKz +:::iofvoYO&f:cA~b!^aDA\.:*|^;"RKB7c-U r9%2wx;CCZCxgCbCwCkKvg!]XCw +000=TB7u8P_>m@NBCFe(P5J74Zx1oqs5&ZoWWTW^-WdWZWTXRTbMZ^Wo=5G\f/I=:Djx`;)Ov7R0VKW'*1A*N qtt{tG"t/tltRtR +HHH~py;p4 B3"l0R]k1`s=DTvB7u8P_>m@.BfFe(P5J74Zx1oqs5ZTXRTbM&&Wo=5G\f/I=:D xL;)Ov7R0VKW'*1A*l"qtt{tG"t/tltRtR +HHH~py;p4 myHOARoYq+dAbdNXK>3"l0R]k1`s=DTvCA(88K8lR8s8C8^8^ +```T4QU4Rt=t`%s*(2g\$se)rHzp6_Q{T2nYJ3`Kl~&uc::o: #:s:&:S:S +%%%9;|:;}iC:%$fL]RQJGfwVg[wEZ:fp}8 G'=/;)Bgp|00T0p]060g0303 +EEEdeCNe^IU.E 6&?P*r,6[6-D<;Tl\H!P+L(7yM{XL5Guu)u\ ucu5uLuL +ttt^{J {DHN^tq+*L8!@F+y`fMBzpKYT0n!X({gp.?KK^))3)zN)8);)K)K +888AK"7K[-<@8(`v .Gy `N]5,S[4I2pk8G/E{: U zsdKK6KerKuK+KzKz +:::iofvoYO} :cA~b!^aDA\.a*{^;"RKB7c-U r9%2w!;CCZCxgCbC_CwCw +000=TB7u8P_>m@.BfFe(P5J74Zx1oqs5TXRTbMXRWo=5G\f/I=:D xL;)Ov7R0VKW'*1A*RGqtt{tG"t/tltRtR +HHH~py;p4 yfHOARoYq+dAbdNXK>3"l0R]k1`s=DTvB7u8P_>m@.BfFe(P5J74Zx1oqs5&>oWWTW^-WdWZWTXRTbMMcWo=5G\f/I=:D xw;)Ov7R0VKW'*1A*llqtt{tG"t/tltRtR +HHH~py;p4 5HOARoYq+dAbdNXm>3"l0R]k1`s=DTvC^(88K8lR8s8C8^8^ +```T4QU4RtU!`%s*(2g\$se)rHsp6_Q{T2nYJ3`Kl~&}c::o: #:s:&:S:S +%%%9;|:;}i:{%$fL]RQJGfwVg[kEZ:fp}8 G'=/;)Bg<|00T0p]060g0303 +EEEdeCNe^INUE 6&?P*r,6[6-D&;Tl\H!P+L(7yM{X5 Guu)u\ ucu5uLuL +ttt^{J {DH Jtq+*L8!@F+y`fMmzpKYT0n!X({gp.?;B^))3)zN)8);)K)K +888AK"7K[-7-8(`v .Gy `N]5,=[4I2pk8G/E{: U +rdKK6KerKuK+KzKz +:::iofvoYOvv:cA~b!^aDA\.a*C^;"RKB7c-U r9%2_,;CCZCxgCbC_CwCw +000=TB7u8P_>m@.BUFe(P5J74Zx1oqs5ZcoWWTW^-WdWZWTXRTbM-3"l0R]k1`s=DTv^-(88K8lR8s8C8^8^ +```T4QU4Rt5Q`%s*(2g\$se)rHsp6_Q{T2nYJ3`Kl~Svc::o: #:s:&:S:S +%%%9;|:;}iai%$fL]RQJGfwVg[kEZ:fp}8 G'=/;)B3]|00T0p]060g0303 +EEEdeCNe^I.NE 6&?P*r,6[6-D&;Tl\H!P+L(7yM{XL&Guu)u\ ucu5uLuL +ttt^{J {DH""tq+*L8!@F+y`fMmzpKYT0n!X({gp.?KC^))3)zN)8);)K)K +888AK"7K[-RA8(`v .Gy `N]5,=[4I2pk8G/E{: U zedKK6KerKuK+KzKz +:::iofvoYO#u:cA~b!^aDA\.a*C^;"RKB7c-U r9%2k_;CCZCxgCbC_CwCw +000=TB7u8P_>m@.BUFe(P5J74Zx1oqs5&TXRTbM>MWo=5G\f/I=:D xw;)Ov7R0VKW'*1A*N"qtt{tG"t/tltRtR +HHH~py;p4 ~;HOARoYq+dAbdNXm>3"l0R]k1`s=DTvB7u8P_>m@.BCFe(P5J74Zx1oqs5Z&oWWTW^-WdWZWTXRTbMc>Wo=5G\f/I=:D x`;)Ov7R0VKW'*1A*l^qtt{tG"t/tltRtR +HHH~py;p4 ::HOARoYq+dAbdNX|>3"l0R]k1`s=DTvC@(88K8lR8s8C8^8^ +```T4QU4Rt9;`%s*(2g\$se)rH!p6_Q{T2nYJ3`Kl~& c::o: #:s:&:S:S +%%%9;|:;}i(,%$fL]RQJGfwVg[!EZ:fp}8 G'=/;)B3g|00T0p]060g0303 +EEEdeCNe^IafE 6&?P*r,6[6-DX;Tl\H!P+L(7yM{XLLGuu)u\ ucu5uLuL +ttt^{J {DHGNtq+*L8!@F+y`fMyzpKYT0n!X({gp.?Km^))3)zN)8);)K)K +888AK"7K[-l"8(`v .Gy `N]5,Q[4I2pk8G/E{: U z!dKK6KerKuK+KzKz +:::iofvoYO O:cA~b!^aDA\.a*|^;"RKB7c-U r9%2wv;CCZCxgCbC_CwCw +000=TB7u8P_>m@.BCFe(P5J74Zx1oqs5TXRTbRZZWo=5G\f/I=:D x`;)Ov7R0VKW'*1A*Nlqtt{tG"t/tltRtR +HHH~py;p4;3"l0R]k1`s=DTv<^(88K8lR8s8C8^8^ +```T4QU4RU!=`%s*(2g\$se)rH!p6_Q{T2nYJ3`Kl~}}c::o: #:s:&:S:S +%%%9;|:;}:,|%$fL]RQJGfwVg[!EZ:fp}8 G'=/;)Bn<|00T0p]060g0303 +EEEdeCNe^N IE 6&?P*r,6[6-DX;Tl\H!P+L(7yM{Xw Guu)u\ ucu5uLuL +ttt^{J {D l tq+*L8!@F+y`fMyzpKYT0n!X({gp.?mB^))3)zN)8);)K)K +888AK"7K[7CR8(`v .Gy `N]5,Q[4I2pk8G/E{: U srdKK6KerKuK+KzKz +:::iofvoYv&i:cA~b!^aDA\.a*|^;"RKB7c-U r9%2k,;CCZCxgCbC_CwCw +000=TB7u8P_>m@.BCFe(P5J74Zx1oqs5&coWWTW^-WdWZWTX-Tb3 -2 Zs-lq=C<(88K8C8s8C8^8^ +```T4Q54RQ`%s*(2g\$se)zHsp69Vc9A3VQ|`&fc::o:&:s:&:S:S +%%%9;|a;}i%$fL]RQJGfwVw[kEZS4aSy=4f&/gc|00T0g060g0303 +EEEdeC.e^NE 6&?P*r,6[6B7u8P_>m@fBUFe23j2b13P*oZ^oWWTWZWdWZWTX-Tb3 -2 Zs-lq=^7(88K8C8s8C8^8^ +```T4Q54RS5`%s*(2g\$se)zH!p69Vc9A3VQ|`&&c::o:&:s:&:S:S +%%%9;|a;}{9%$fL]RQJGfwVw[!EZS4aSy=4f&/g3|00T0g060g0303 +EEEdeC.e^f%E 6&?P*r,6[6B7u8P_>m@fBCFe23j2b13P*oZ-oWWTWZWdWZWTX-Tb&>Wo=5G\f/I=:DLx`;)bJkb2'Jvg*RRqtt{tlt/tltRtR +HHH~pyfp4m:HOARoYq+dAbdKX|>3 -2 Zs-lq=^<(88K8C8s8C8^8^ +```T4Q54R=;`%s*(2g\$se)zH!p69Vc9A3VQ|`Sfc::o:&:s:&:S:S +%%%9;|a;}|,%$fL]RQJGfwVw[!EZS4aSy=4f&/3c|00T0g060g0303 +EEEdeC.e^CfE 6&?P*r,6[6B7u8P_>m@UBUFe23j2b13P*oZXoWWTWZWdWZWTX-TbMZWo=5G\f/I=:Dwxw;)bJkb2'Jvg*lZqtt{tlt/tltRtR +HHH~pyfp4 BHOARoYq+dAbdmXm>3 -2 Zs-lq=Cl(88K8C8s8C8^8^ +```T4Q54Rt=`%s*(2g\$se)sHsp69Vc9A3VQ|`S&c::o:&:s:&:S:S +%%%9;|a;}i|%$fL]RQJGfwVk[kEZS4aSy=4f&/33|00T0g060g0303 +EEEdeC.e^IIE 6&?P*r,6[6&D&;TKYBK07Y\fyLwGuu)u5ucu5uLuL +ttt^{J"{DH tq+*L8!@F+y`mMmzpW#SWi{#YqgK|^))3);)8);)K)K +888AK"RK[-R8(`v .Gy `N]=,=[4wWxwi{W2g:z1dKK6K+KuK+KzKz +:::iof#oYOi:cA~b!^aDA\.C*C^;1/N1A /RQrw];CCZC_CbC_CwCw +000=T<]T~ci0|>B7u8P_>m@UBCFe23j2b13P*oZZoWWTWZWdWZWTX-TbRXWo=5G\f/I=:Dwx`;)bJkb2'Jvg*l qtt{tlt/tltRtR +HHH~pyfp4; HOARoYq+dAbdmX|>3 -2 Zs-lq=CR(88K8C8s8C8^8^ +```T4Q54RUU`%s*(2g\$se)sH!p69Vc9A3VQ|`&ic::o:&:s:&:S:S +%%%9;|a;}:a%$fL]RQJGfwVk[!EZS4aSy=4f&/gi|00T0g060g0303 +EEEdeC.e^NdE 6&?P*r,6[6&DX;TKYBK07Y\fy5\Guu)u5ucu5uLuL +ttt^{J"{D Ztq+*L8!@F+y`mMyzpW#SWi{#YqgK;^))3);)8);)K)K +888AK"RK[7l8(`v .Gy `N]=,Q[4wWxwi{W2g:zzdKK6K+KuK+KzKz +:::iof#oY#&:cA~b!^aDA\.C*|^;1/N1A /RQrwk;CCZC_CbC_CwCw +000=T<]T~]30|>B7u8P_>m@UBCFe23j2b13P*oTX-Tb--Wo=5G\f/I=:D`xL;)bJkb2'Jvg*lNqtt{tR"t/tltRtR +HHH~pyfp4f~HOARoYq+dAbd|XK>3 -2 Zs-lq=C"(88K8C8s8C8^8^ +```T4Q54R59`%s*(2g\$se)!Hzp69Vc9A3VQ|`&Oc::o:&:s:&:S:S +%%%9;|a;}a(%$fL]RQJGfwV![wEZS4aSy=4f&/gw|00T0g060g0303 +EEEdeC.e^d E 6&?P*r,6[6XD<;TKYBK07Y\fy5 Guu)u5ucu5uLuL +ttt^{J"{D^Rtq+*L8!@F+y`yMBzpW#SWi{#Yqg;@^))3);)8);)K)K +888AK"RK[A<8(`v .Gy `N]Q,S[4wWxwi{W2g:+fdKK6K+KuK+KzKz +:::iof#oYif:cA~b!^aDA\.|*{^;1/N1A /RQr_x;CCZC_CbC_CwCw +000=T<]T~=c0|>B7u8P_>m@CBfFe23j2b13P*oTX-Tb>^Wo=5G\f/I=:D`xL;)bJkb2'Jvg*R qtt{tR"t/tltRtR +HHH~pyfp4:3 -2 Zs-lq=CC(88K8C8s8C8^8^ +```T4Q54R9S`%s*(2g\$se)!Hsp69Vc9A3VQ|`&Sc::o:&:s:&:S:S +%%%9;|a;}~C%$fL]RQJGfwV![kEZS4aSy=4f&/gn|00T03]060g0303 +EEEdeC.e^%CE 6&?P*r,6[6XD&;TKYBK07Y\fy5`Guu)uL ucu5uLuL +ttt^{J"{DZHtq+*L8!@F+y`yMmzpW#SWi{#Yqg;M^))3)KN)8);)K)K +888AK"RK[@78(`v .Gy `N]Q,=[4wWxwi{W2g:+&dKK6KzrKuK+KzKz +:::iof#oYu#:cA~b!^aDA\.|*C^;1/N1A /RQr_g;CCZCwgCbC_CwCw +000=T<]T~i=0|>B7u8P_>m@CBUFe23j2b13P*oZ>oWWTW<-WdWZWTX-Tb^&Wo=5G\f/I=:D`xw;)bJkb2'Jvg*RNqtt{tlt/tltRtR +HHH~pyfp45yHOARoYq+dAbd|Xm>3 -2 Zs-lq=^"(88K8C8s8C8^8^ +```T4Q54R;t`%s*(2g\$se)!Hsp69Vc9A3VQ|`SOc::o:&:s:&:S:S +%%%9;|a;}(:%$fL]RQJGfwV![kEZS4aSy=4f&/3w|00T0g060g0303 +EEEdeC.e^a.E 6&?P*r,6[6XDX;TKYBK07Y\fy55Guu)u5ucu5uLuL +ttt^{J"{DG^tq+*L8!@F+y`yMyzpW#SWi{#Yqg;K^))3)KN)8);)K)K +888AK"RK[l@8(`v .Gy `N]Q,Q[4wWxwi{W2g:+sdKK6KzrKuK+KzKz +:::iof#oY :cA~b!^aDA\.|*|^;1/N1A /RQr_!;CCZCwgCbC_CwCw +000=T<]T~3gg0|>B7u8P_>m@CBCFe23j2b13P*oZMoWWTW<-WdWZWTX-Tb3 -2 Zs-lq=^C(88K8^R8s8C8^8^ +```T4Q54RS!T`%s*(2g\$se)!H!p69Vc9A3VQ|`SSc::o:S#:s:&:S:S +%%%9;|a;}{,~%$fL]RQJGfwV![!EZS4aSy=4f&/3n|00T03]060g0303 +EEEdeC.e^f aE 6&?P*r,6[6XDX;TKYBK07Y\fyL`Guu)uL ucu5uLuL +ttt^{J"{DRRltq+*L8!@F+y`yMyzpW#SWi{#YqgKM^))3)KN)8);)K)K +888AK"RK[^^^8(`v .Gy `N]Q,Q[4wWxwi{W2g:z&dKK6KzrKuK+KzKz +:::iof#oYSS}:cA~b!^aDA\.i*{^;1/N1A /RQr__;CCZC_CbC_CwCw +000=T<]T~33<0|>B7u8P_>m@IBfFe23j2b13P*oZTX-Tb<3 -2 Zs-lq=CA(88K8^R8s8C8^8^ +```T4Q54RS=!`%s*(2g\$se)1Hzp69Vc9A3VQ|`&uc::o:S#:s:&:S:S +%%%9;|a;}{C{%$fL]RQJGfwVv[wEZS4aSy=4f&/gp|00T0g060g0303 +EEEdeC.e^fUUE 6&?P*r,6[6MD<;TKYBK07Y\fyL5Guu)u5ucu5uLuL +ttt^{J"{DRNJtq+*L8!@F+y` MBzpW#SWi{#YqgKK^))3);)8);)K)K +888AK"RK[^<-8(`v .Gy `N]t,S[4wWxwi{W2g:zsdKK6K+KuK+KzKz +:::iof#oYS}v:cA~b!^aDA\.i*{^;1/N1A /RQrw!;CCZC_CbC_CwCw +000=T<]T~3n]0|>B7u8P_>m@IBfFe23j2b13P*oTX-Tb3 -2 Zs-lq=C-(88K8C8s8C8^8^ +```T4Q54RSQQ`%s*(2g\$se)1Hsp69Vc9A3VQ|`&vc::o:&:s:&:S:S +%%%9;|a;}{|i%$fL]RQJGfwVv[kEZS4aSy=4f&/g]|00T03]060g0303 +EEEdeC.e^fCNE 6&?P*r,6[6MD&;TKYBK07Y\fy5&Guu)uL ucu5uLuL +ttt^{J"{DRJ"tq+*L8!@F+y` MmzpW#SWi{#Yqg;C^))3);)8);)K)K +888AK"RK[^"A8(`v .Gy `N]t,=[4wWxwi{W2g:+edKK6K+KuK+KzKz +:::iof#oYSfu:cA~b!^aDA\.i*C^;1/N1A /RQrw_;CCZC_CbC_CwCw +000=T<]T~3B7u8P_>m@IBUFe23j2b13P*o<TX-Tb3 -2 Zs-lq=C^(88K8C8s8C8^8^ +```T4Q54RSt5`%s*(2g\$se)1H!p69Vc9A3VQ|`&}c::o:&:s:&:S:S +%%%9;|a;}{i9%$fL]RQJGfwVv[!EZS4aSy=4f&/g<|00T0g060g0303 +EEEdeC.e^fI%E 6&?P*r,6[6MDX;TKYBK07Y\fy5 Guu)u5ucu5uLuL +ttt^{J"{DRHGtq+*L8!@F+y` MyzpW#SWi{#Yqg;B^))3)KN)8);)K)K +888AK"RK[^7C8(`v .Gy `N]t,Q[4wWxwi{W2g:+rdKK6KzrKuK+KzKz +:::iof#oYSvS:cA~b!^aDA\.i*|^;1/N1A /RQr_,;CCZC_CbC_CwCw +000=T<]T~3wn0|>B7u8P_>m@IBCFe23j2b13P*oZcoWWTWZWdWZWTX-TbWo=5G\f/I=:D x`;)bJkb2'Jvg*RJqtt{tlt/tltRtR +HHH~pyfp4B;:HOARoYq+dAbdMX|>3 -2 Zs-lq=^-(88K8C8s8C8^8^ +```T4Q54RSU;`%s*(2g\$se)1H!p69Vc9A3VQ|`Svc::o:S#:s:&:S:S +%%%9;|a;}{a,%$fL]RQJGfwV][wEZS4aSy=4f&/gg|00T0g060g0303 +EEEdeC.e^f.fE 6&?P*r,6[6RD<;TKYBK07Y\fy5LGuu)u5ucu5uLuL +ttt^{J"{DR"Ntq+*L8!@F+y`;MBzpW#SWi{#Yqg;m^))3);)8);)K)K +888AK"RK[^R"8(`v .Gy `N]U,S[4wWxwi{W2g:+!dKK6K+KuK+KzKz +:::iof#oYS#O:cA~b!^aDA\.:*{^;1/N1A /RQr_v;CCZC_CbC_CwCw +000=T<]T~3]w0|>B7u8P_>m@NBfFe23j2b13P*oZRoWWTWZWdWZWTX-Tb<>ZWo=5G\f/I=:DjxL;)bJkb2'Jvg*Rlqtt{tlt/tltRtR +HHH~pyfp4B~BHOARoYq+dAbdBXK>3 -2 Zs-lq=^^(88K8C8s8C8^8^ +```T4Q54RST=`%s*(2g\$se)&Hzp69Vc9A3VQ|`S}c::o:&:s:&:S:S +%%%9;|a;}{9|%$fL]RQJGfwV][wEZS4aSy=4f&/3<|00T0g060g0303 +EEEdeC.e^fdIE 6&?P*r,6[6RD<;TKYBK07Y\fyL Guu)u5ucu5uLuL +ttt^{J"{DR^ tq+*L8!@F+y`;MBzpW#SWi{#YqgKB^))3);)8);)K)K +888AK"RK[^AR8(`v .Gy `N]U,=[4wWxwi{W2g:++dKK6K+KuK+KzKz +:::iof#oYSii:cA~b!^aDA\.:*C^;1/N1A /RQr_w;CCZC_CbC_CwCw +000=T<]T~3=i0|>B7u8P_>m@NBUFe23j2b13P*oZ&oWWTWZWdWZWTX-Tb3 -2 Zs-lq=C@(88K8C8s8C8^8^ +```T4Q54RS9U`%s*(2g\$se)&Hsp69Vc9A3VQ|`& c::o:&:s:&:S:S +%%%9;|a;}{~a%$fL]RQJGfwV][kEZS4aSy=4f&/3g|00T0g060g0303 +EEEdeC.e^f%dE 6&?P*r,6[6RD&;TKYBK07Y\fyLLGuu)u5ucu5uLuL +ttt^{J"{DRZZtq+*L8!@F+y`;MmzpW#SWi{#YqgKm^))3);)8);)K)K +888AK"RK[^@l8(`v .Gy `N]U,=[4wWxwi{W2g:z!dKK6K+KuK+KzKz +:::iof#oYS &:cA~b!^aDA\.:*C^;1/N1A /RQrwv;CCZC_CbC_CwCw +000=T<]T~3p30|>B7u8P_>m@NBUFe23j2b13P*oTX-Tb<^-Wo=5G\f/I=:Djx`;)bJkb2'Jvg*lHqtt{tlt/tltRtR +HHH~pyfp4B5~HOARoYq+dAbdBX|>3 -2 Zs-lq=C7(88K8^R8s8C8^8^ +```T4Q54RS;9`%s*(2g\$se)&H!p69Vc9A3VQ|`&#c::o:&:s:&:S:S +%%%9;|a;}{((%$fL]RQJGfwV][!EZS4aSy=4f&/g=|00T0g060g0303 +EEEdeC.e^U E 6&?P*r,6[6RDX;TKYBK07Y\fy5NGuu)u5ucu5uLuL +ttt^{J"{DNlRtq+*L8!@F+y`;MyzpW#SWi{#Yqg;z^))3)KN)8);)K)K +888AK"RK[B7u8P_>m@NBCFe23j2b13P*o<&oWWTWZWdWZWTX-Tb&Z^Wo=5G\f/I=:D xL;)bJkb2'Jvg*lRqtt{tlt/tltRtR +HHH~pyfp4mB3 -2 Zs-lq=C<(88K8C8s8C8^8^ +```T4Q54R=SS`%s*(2g\$se)rHzp69Vc9A3VQ|`&fc::o:&:s:&:S:S +%%%9;|a;}C{C%$fL]RQJGfwVg[wEZS4aSy=4f&/gc|00T0g060g0303 +EEEdeC.e^UfCE 6&?P*r,6[6-D<;TKYBK07Y\fy5jGuu)u5ucu5uLuL +ttt^{J"{DNRHtq+*L8!@F+y`fMBzpW#SWi{#Yqg;N^))3);)8);)K)K +888AK"RK[<^78(`v .Gy `N]5,S[4wWxwi{W2g:+gdKK6K+KuK+KzKz +:::iof#oY}S#:cA~b!^aDA\.a*{^;1/N1A /RQr_X;CCZC_CbC_CwCw +000=T<]T~n3=0|>B7u8P_>m@.BfFe23j2b13P*oZ^oWWTWZWdWZWTX-Tb&&&Wo=5G\f/I=:D xL;)bJkb2'Jvg*RHqtt{tlt/tltRtR +HHH~pyfp4mmyHOARoYq+dAbdNXK>3 -2 Zs-lq=^7(88K8C8s8C8^8^ +```T4Q54R==t`%s*(2g\$se)rHsp69Vc9A3VQ|`&&c::o:&:s:&:S:S +%%%9;|a;}CC:%$fL]RQJGfwVg[kEZS4aSy=4f&/g3|00T0g060g0303 +EEEdeC.e^UU.E 6&?P*r,6[6-D&;TKYBK07Y\fy5wGuu)u5ucu5uLuL +ttt^{J"{DNN^tq+*L8!@F+y`fMmzpW#SWi{#Yqg;|^))3);)8);)K)K +888AK"RK[<<@8(`v .Gy `N]5,=[4wWxwi{W2g:+1dKK6K+KuK+KzKz +:::iof#oY}} :cA~b!^aDA\.a*C^;1/N1A /RQr_];CCZC_CbC_CwCw +000=T<]T~nB7u8P_>m@.BUFe23j2b13P*oZ-oWWTWZWdWZWTX-Tb&XRWo=5G\f/I=:D xw;)bJkb2'Jvg*RRqtt{tlt/tltRtR +HHH~pyfp4myfHOARoYq+dAbdNXm>3 -2 Zs-lq=^<(88K8C8s8C8^8^ +```T4Q54R=QT`%s*(2g\$se)rHsp69Vc9A3VQ|`Sfc::o:&:s:&:S:S +%%%9;|a;}C|~%$fL]RQJGfwVg[kEZS4aSy=4f&/3c|00T0g060g0303 +EEEdeC.e^UCaE 6&?P*r,6[6-D&;TKYBK07Y\fyLjGuu)u5ucu5uLuL +ttt^{J"{DNHltq+*L8!@F+y`fMyzpW#SWi{#Yqg;;^))3);)8);)K)K +888AK"RK[<-^8(`v .Gy `N]5,Q[4wWxwi{W2g:+zdKK6K+KuK+KzKz +:::iof#oY}O}:cA~b!^aDA\.a*|^;1/N1A /RQr_k;CCZC_CbC_CwCw +000=T<]T~nc<0|>B7u8P_>m@.BCFe23j2b13P*oZXoWWTWZWdWZWTX-Tb&McWo=5G\f/I=:D x`;)bJkb2'Jvg*lZqtt{tlt/tltRtR +HHH~pyfp4m 5HOARoYq+dAbdNX|>3 -2 Zs-lq=Cl(88K8C8s8C8^8^ +```T4Q54R=U!`%s*(2g\$se)rH!p69Vc9A3VQ|`S&c::o:&:s:&:S:S +%%%9;|a;}C:{%$fL]RQJGfwVg[!EZS4aSy=4f&/33|00T0g060g0303 +EEEdeC.e^UNUE 6&?P*r,6[6-DX;TKYBK07Y\fyLwGuu)u5ucu5uLuL +ttt^{J"{DN Jtq+*L8!@F+y`fMyzpW#SWi{#YqgK|^))3);)8);)K)K +888AK"RK[<7-8(`v .Gy `N]5,Q[4wWxwi{W2g:z1dKK6K+KuK+KzKz +:::iof#oY}vv:cA~b!^aDA\.a*|^;1/N1A /RQrw];CCZC_CbC_CwCw +000=Tc3T~g0|>B7u8P_>m@fBUFe23j2b*3JoHfB1YZZoWWTWcZWdWZWTM3 -2 Zq-R=0GdS_CC(88K8@C8s8C8^8^ +```T4tS4RT`%s*(2g\$se)sH!p69Vc9A|VT``^pCV&Sc::o:u&:s:&:S:S +%%%9;i{;}~%$fL]RQJGfwV![wEZS4aSy&4}/d89+zgg|00T0ig060g0303 +EEEdeIfe^aE 6&?P*r,6[6XD<;TKYBK0fY!y7gkIJ5LGuu)uN5ucu5uLuL +ttt^{HR{DRltq+*L8!@F+y`yMmzpW#SWiq#0g0q2&-;;^))3)C;)8);)K)K +888AK-^K[^^8(`v .Gy `N]Q,=[4wWxwigWk:{|cjV+zdKK6Kf+KuK+KzKz +:::ioOSoYS}:cA~b!^aDA\.|*|^;1/N1AQ/Brp&ad4__;CCZCX_CbC_CwCw +000=Tc3T~3<0|>B7u8P_>m@CBCFe23j2b*3JoHfB1YZTM3 -2 Zq-R=0GdS_C^(88K8@C8s8C8^8^ +```T4tS4R=!`%s*(2g\$se)&Hzp69Vc9A|VT``^pCV&&c::o:u&:s:&:S:S +%%%9;i{;}C{%$fL]RQJGfwV][wEZS4aSy&4}/d89+zg3|00T0ig060g0303 +EEEdeIfe^UUE 6&?P*r,6[6RD&;TKYBK0fY!y7gkIJ55Guu)uN5ucu5uLuL +ttt^{HR{DNJtq+*L8!@F+y`;MmzpW#SWiq#0g0q2&-;K^))3)C;)8);)K)K +888AK-^K[<-8(`v .Gy `N]U,Q[4wWxwigWk:{|cjV++dKK6Kf+KuK+KzKz +:::ioOSoY}v:cA~b!^aDA\.:*|^;1/N1AQ/Brp&ad4_w;CCZCX_CbC_CwCw +000=Tc3T~n]0|>B7u8P_>m@.BfFe23j2b*3JoHfB1YZZoWWTWcZWdWZWTM3 -2 Z sw0qq2&-;;^))3)C;)8);)K)K +888AK-B7u8P_>m@UBUFe23j2b21X5**jk3 EEeE% E\E EfEf +WWW>TM&TbRWo=5G\f/I=:Dwxw;)bJkb2b'-7ggkIJ5LGuu)uN5ucu5uLuL +ttt^{HN{D"tq+*L8!@F+y`mMyzpW#SWiW{ITqqS?#<3 -2 Z sw0qq2&-;K^))3)C;)8);)K)K +888AK-B7u8P_>m@IBUFe23j2b21X5**jk3 f EEeE% E\E EfEf +WWW>TM&Tb3 -2 Z sw0qq2&-;;^))3)C;)8);)K)K +888AK-B7u8P_>m@.BCFe23j2b21X5**jk3 EEeE% E\E EfEf +WWW>TM&TbX3 -2 Zsw0qq2&-;K^))3)C;)8);)K)K +888AK-"K[@8(`v .Gy `N]Q,S[4wWxwi{#pggx|W!!%``4`9!`Y`!`S`S +KKKg61!6LeKdP lQ|[~Pa7f%S8o*Vp*9d0`^^pCV&Sc::o:u&:s:&:S:S +%%%9;i|;}{,%$fL]RQJGfwV![kEZS4aSy=Mp&&ad4__;CCZCX_CbC_CwCw +000=TcB7u8P_>m@CBUFe23j2b1X5**jk3 f EEeE% E\E EfEf +WWW>TMXTb<&Wo=5G\f/I=:D`x`;)bJkb2'-7ggkIJ55Guu)uN5ucu5uLuL +ttt^{HJ{DRJtq+*L8!@F+y`yMyzpW#SWi{ITqqS?#3 -2 Zsw0qq2&-;;^))3)C;)8);)K)K +888AK-"K[<^8(`v .Gy `N]U,S[4wWxwi{#pggx|W!S%``4`9!`Y`!`S`S +KKKg61!6LssKdP lQ|[~Pa7v%}8o*Vp*9d0`^^pCV&&c::o:u&:s:&:S:S +%%%9;i|;}C|%$fL]RQJGfwV][kEZS4aSy=Mp&&ad4_w;CCZCX_CbC_CwCw +000=TcB7u8P_>m@NBCFe23j2b1X5**jk3 EEeE% E\E EfEf +WWW>TMXTb&RWo=5G\f/I=:Djx`;)bJkb2'-7ggkIJ5LGuu)uN5ucu5uLuL +ttt^{HJ{DN"tq+*L8!@F+y`fMBzpW#SWi{ITqqS?#<" +set_global_assignment -name VERILOG_INPUT_VERSION Verilog_2001 +set_global_assignment -name VHDL_INPUT_VERSION VHDL_1993 +set_global_assignment -name FAMILY -value "Cyclone V" +set_global_assignment -name TRUE_WYSIWYG_FLOW Off +set_global_assignment -name SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES Off +set_global_assignment -name STATE_MACHINE_PROCESSING Auto +set_global_assignment -name SAFE_STATE_MACHINE Off +set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES On +set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES On +set_global_assignment -name IGNORE_VERILOG_INITIAL_CONSTRUCTS Off +set_global_assignment -name VERILOG_CONSTANT_LOOP_LIMIT 5000 +set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 250 +set_global_assignment -name INFER_RAMS_FROM_RAW_LOGIC On +set_global_assignment -name PARALLEL_SYNTHESIS On +set_global_assignment -name DSP_BLOCK_BALANCING Auto +set_global_assignment -name MAX_BALANCING_DSP_BLOCKS "-1 (Unlimited)" +set_global_assignment -name NOT_GATE_PUSH_BACK On +set_global_assignment -name ALLOW_POWER_UP_DONT_CARE On +set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS Off +set_global_assignment -name REMOVE_DUPLICATE_REGISTERS On +set_global_assignment -name IGNORE_CARRY_BUFFERS Off +set_global_assignment -name IGNORE_CASCADE_BUFFERS Off +set_global_assignment -name IGNORE_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_ROW_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_LCELL_BUFFERS Off +set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS AUTO +set_global_assignment -name IGNORE_SOFT_BUFFERS On +set_global_assignment -name MAX7000_IGNORE_SOFT_BUFFERS Off +set_global_assignment -name LIMIT_AHDL_INTEGERS_TO_32_BITS Off +set_global_assignment -name AUTO_GLOBAL_CLOCK_MAX On +set_global_assignment -name AUTO_GLOBAL_OE_MAX On +set_global_assignment -name MAX_AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name AUTO_IMPLEMENT_IN_ROM Off +set_global_assignment -name APEX20K_TECHNOLOGY_MAPPER Lut +set_global_assignment -name OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE Speed +set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name ALLOW_XOR_GATE_USAGE On +set_global_assignment -name AUTO_LCELL_INSERTION On +set_global_assignment -name CARRY_CHAIN_LENGTH 48 +set_global_assignment -name FLEX6K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name FLEX10K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name MERCURY_CARRY_CHAIN_LENGTH 48 +set_global_assignment -name STRATIX_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name CASCADE_CHAIN_LENGTH 2 +set_global_assignment -name PARALLEL_EXPANDER_CHAIN_LENGTH 16 +set_global_assignment -name MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH 4 +set_global_assignment -name AUTO_CARRY_CHAINS On +set_global_assignment -name AUTO_CASCADE_CHAINS On +set_global_assignment -name AUTO_PARALLEL_EXPANDERS On +set_global_assignment -name AUTO_OPEN_DRAIN_PINS On +set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP Off +set_global_assignment -name AUTO_ROM_RECOGNITION On +set_global_assignment -name AUTO_RAM_RECOGNITION On +set_global_assignment -name AUTO_DSP_RECOGNITION On +set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION Auto +set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION On +set_global_assignment -name STRICT_RAM_RECOGNITION Off +set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE On +set_global_assignment -name FORCE_SYNCH_CLEAR Off +set_global_assignment -name AUTO_RAM_BLOCK_BALANCING On +set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION Off +set_global_assignment -name AUTO_RESOURCE_SHARING Off +set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION Off +set_global_assignment -name MAX7000_FANIN_PER_CELL 100 +set_global_assignment -name USE_LOGICLOCK_CONSTRAINTS_IN_BALANCING On +set_global_assignment -name MAX_RAM_BLOCKS_M512 "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_M4K "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_MRAM "-1 (Unlimited)" +set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF Off +set_global_assignment -name STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECT Off +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "MAX 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix IV" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV E" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GX" +set_global_assignment -name REPORT_PARAMETER_SETTINGS On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS On +set_global_assignment -name REPORT_CONNECTIVITY_CHECKS On +set_global_assignment -name IGNORE_MAX_FANOUT_ASSIGNMENTS Off +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone 10 LP" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV E" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix IV" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX II" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Cyclone V" +set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "Normal compilation" +set_global_assignment -name HDL_MESSAGE_LEVEL Level2 +set_global_assignment -name USE_HIGH_SPEED_ADDER Auto +set_global_assignment -name NUMBER_OF_PROTECTED_REGISTERS_REPORTED 100 +set_global_assignment -name NUMBER_OF_REMOVED_REGISTERS_REPORTED 5000 +set_global_assignment -name NUMBER_OF_SYNTHESIS_MIGRATION_ROWS 5000 +set_global_assignment -name SYNTHESIS_S10_MIGRATION_CHECKS Off +set_global_assignment -name NUMBER_OF_SWEPT_NODES_REPORTED 5000 +set_global_assignment -name NUMBER_OF_INVERTED_REGISTERS_REPORTED 100 +set_global_assignment -name SYNTH_CLOCK_MUX_PROTECTION On +set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION Off +set_global_assignment -name BLOCK_DESIGN_NAMING Auto +set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT Off +set_global_assignment -name SYNTHESIS_EFFORT Auto +set_global_assignment -name SHIFT_REGISTER_RECOGNITION_ACLR_SIGNAL On +set_global_assignment -name PRE_MAPPING_RESYNTHESIS Off +set_global_assignment -name SYNTH_MESSAGE_LEVEL Medium +set_global_assignment -name DISABLE_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "MAX 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix IV" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV E" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GX" +set_global_assignment -name MAX_LABS "-1 (Unlimited)" +set_global_assignment -name RBCGEN_CRITICAL_WARNING_TO_ERROR On +set_global_assignment -name MAX_NUMBER_OF_REGISTERS_FROM_UNINFERRED_RAMS "-1 (Unlimited)" +set_global_assignment -name AUTO_PARALLEL_SYNTHESIS On +set_global_assignment -name PRPOF_ID Off +set_global_assignment -name DISABLE_DSP_NEGATE_INFERENCING Off +set_global_assignment -name REPORT_PARAMETER_SETTINGS_PRO On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS_PRO On +set_global_assignment -name ENABLE_STATE_MACHINE_INFERENCE Off +set_global_assignment -name FLEX10K_ENABLE_LOCK_OUTPUT Off +set_global_assignment -name AUTO_MERGE_PLLS On +set_global_assignment -name IGNORE_MODE_FOR_MERGE Off +set_global_assignment -name TXPMA_SLEW_RATE Low +set_global_assignment -name ADCE_ENABLED Auto +set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL Normal +set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS Off +set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0.0 +set_global_assignment -name PHYSICAL_SYNTHESIS Off +set_global_assignment -name ECO_ALLOW_ROUTING_CHANGES Off +set_global_assignment -name DEVICE AUTO +set_global_assignment -name BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE Off +set_global_assignment -name ENABLE_JTAG_BST_SUPPORT Off +set_global_assignment -name MAX7000_ENABLE_JTAG_BST_SUPPORT On +set_global_assignment -name ENABLE_NCEO_OUTPUT Off +set_global_assignment -name RESERVE_NCEO_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name STRATIXIII_UPDATE_MODE Standard +set_global_assignment -name STRATIX_UPDATE_MODE Standard +set_global_assignment -name INTERNAL_FLASH_UPDATE_MODE "Single Image" +set_global_assignment -name CVP_MODE Off +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria 10" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Stratix V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V GZ" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Cyclone V" +set_global_assignment -name VID_OPERATION_MODE "PMBus Slave" +set_global_assignment -name USE_CONF_DONE AUTO +set_global_assignment -name USE_PWRMGT_SCL AUTO +set_global_assignment -name USE_PWRMGT_SDA AUTO +set_global_assignment -name USE_PWRMGT_ALERT AUTO +set_global_assignment -name USE_INIT_DONE AUTO +set_global_assignment -name USE_CVP_CONFDONE AUTO +set_global_assignment -name USE_SEU_ERROR AUTO +set_global_assignment -name RESERVE_AVST_CLK_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_VALID_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA15_THROUGH_DATA0_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name STRATIXIII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name MAX10FPGA_CONFIGURATION_SCHEME "Internal Configuration" +set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name APEX20K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name MERCURY_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX6K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX10K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name APEXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name USER_START_UP_CLOCK Off +set_global_assignment -name ENABLE_UNUSED_RX_CLOCK_WORKAROUND Off +set_global_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL Off +set_global_assignment -name IGNORE_HSSI_COLUMN_POWER_WHEN_PRESERVING_UNUSED_XCVR_CHANNELS On +set_global_assignment -name AUTO_RESERVE_CLKUSR_FOR_CALIBRATION On +set_global_assignment -name DEVICE_INITIALIZATION_CLOCK INIT_INTOSC +set_global_assignment -name ENABLE_VREFA_PIN Off +set_global_assignment -name ENABLE_VREFB_PIN Off +set_global_assignment -name ALWAYS_ENABLE_INPUT_BUFFERS Off +set_global_assignment -name ENABLE_ASMI_FOR_FLASH_LOADER Off +set_global_assignment -name ENABLE_DEVICE_WIDE_RESET Off +set_global_assignment -name ENABLE_DEVICE_WIDE_OE Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "As output driving ground" +set_global_assignment -name ENABLE_INIT_DONE_OUTPUT Off +set_global_assignment -name INIT_DONE_OPEN_DRAIN On +set_global_assignment -name RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_RDYNBUSY_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA15_THROUGH_DATA8_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA5_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name ENABLE_CONFIGURATION_PINS On +set_global_assignment -name ENABLE_JTAG_PIN_SHARING Off +set_global_assignment -name ENABLE_NCE_PIN Off +set_global_assignment -name ENABLE_BOOT_SEL_PIN On +set_global_assignment -name CRC_ERROR_CHECKING Off +set_global_assignment -name INTERNAL_SCRUBBING Off +set_global_assignment -name PR_ERROR_OPEN_DRAIN On +set_global_assignment -name PR_READY_OPEN_DRAIN On +set_global_assignment -name ENABLE_CVP_CONFDONE Off +set_global_assignment -name CVP_CONFDONE_OPEN_DRAIN On +set_global_assignment -name ENABLE_NCONFIG_FROM_CORE On +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "MAX 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix IV" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "MAX 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix IV" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX II" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone V" +set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION On +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS Auto +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES Care +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix IV" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria 10" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix V" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria V GZ" +set_global_assignment -name PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILES 1.0 +set_global_assignment -name GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIME On +set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "Normal compilation" +set_global_assignment -name OPTIMIZE_SSN Off +set_global_assignment -name OPTIMIZE_TIMING "Normal compilation" +set_global_assignment -name ECO_OPTIMIZE_TIMING Off +set_global_assignment -name ECO_REGENERATE_REPORT Off +set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING Normal +set_global_assignment -name FIT_ONLY_ONE_ATTEMPT Off +set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION Automatically +set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION Automatically +set_global_assignment -name SEED 1 +set_global_assignment -name PERIPHERY_TO_CORE_PLACEMENT_AND_ROUTING_OPTIMIZATION OFF +set_global_assignment -name RESERVE_ROUTING_OUTPUT_FLEXIBILITY Off +set_global_assignment -name SLOW_SLEW_RATE Off +set_global_assignment -name PCI_IO Off +set_global_assignment -name TURBO_BIT On +set_global_assignment -name WEAK_PULL_UP_RESISTOR Off +set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY Off +set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS Off +set_global_assignment -name MIGRATION_CONSTRAIN_CORE_RESOURCES On +set_global_assignment -name QII_AUTO_PACKED_REGISTERS Auto +set_global_assignment -name AUTO_PACKED_REGISTERS_MAX Auto +set_global_assignment -name NORMAL_LCELL_INSERT On +set_global_assignment -name CARRY_OUT_PINS_LCELL_INSERT On +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone 10 LP" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix IV" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV E" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX II" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone V" +set_global_assignment -name AUTO_DELAY_CHAINS_FOR_HIGH_FANOUT_INPUT_PINS OFF +set_global_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER Off +set_global_assignment -name TREAT_BIDIR_AS_OUTPUT Off +set_global_assignment -name AUTO_TURBO_BIT ON +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC Off +set_global_assignment -name PHYSICAL_SYNTHESIS_LOG_FILE Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION Off +set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING Off +set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING Off +set_global_assignment -name IO_PLACEMENT_OPTIMIZATION On +set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER Off +set_global_assignment -name OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERS Off +set_global_assignment -name FITTER_EFFORT "Auto Fit" +set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN 0ns +set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT Normal +set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION Auto +set_global_assignment -name ROUTER_REGISTER_DUPLICATION Auto +set_global_assignment -name STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESET Off +set_global_assignment -name AUTO_GLOBAL_CLOCK On +set_global_assignment -name AUTO_GLOBAL_OE On +set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE Realistic +set_global_assignment -name STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGE Off +set_global_assignment -name STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITHOUT_8B10B Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_POST8B10B_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOS Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF_WITH_PLL Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "As input tri-stated with weak pull-up" +set_global_assignment -name ENABLE_HOLD_BACK_OFF On +set_global_assignment -name CONFIGURATION_VCCIO_LEVEL Auto +set_global_assignment -name FORCE_CONFIGURATION_VCCIO Off +set_global_assignment -name SYNCHRONIZER_IDENTIFICATION Auto +set_global_assignment -name ENABLE_BENEFICIAL_SKEW_OPTIMIZATION On +set_global_assignment -name OPTIMIZE_FOR_METASTABILITY On +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone 10 LP" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "MAX 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone IV E" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Stratix V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V GZ" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Cyclone V" +set_global_assignment -name MAX_GLOBAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_REGIONAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_PERIPHERY_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria 10" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Stratix V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Cyclone IV GX" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V GZ" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Cyclone V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Arria II GX" +set_global_assignment -name M144K_BLOCK_READ_CLOCK_DUTY_CYCLE_DEPENDENCY Off +set_global_assignment -name STRATIXIII_MRAM_COMPATIBILITY On +set_global_assignment -name FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGS Off +set_global_assignment -name AUTO_C3_M9K_BIT_SKIP Off +set_global_assignment -name PR_DONE_OPEN_DRAIN On +set_global_assignment -name NCEO_OPEN_DRAIN On +set_global_assignment -name ENABLE_CRC_ERROR_PIN Off +set_global_assignment -name ENABLE_PR_PINS Off +set_global_assignment -name RESERVE_PR_PINS Off +set_global_assignment -name CONVERT_PR_WARNINGS_TO_ERRORS Off +set_global_assignment -name PR_PINS_OPEN_DRAIN Off +set_global_assignment -name CLAMPING_DIODE Off +set_global_assignment -name TRI_STATE_SPI_PINS Off +set_global_assignment -name UNUSED_TSD_PINS_GND Off +set_global_assignment -name IMPLEMENT_MLAB_IN_16_BIT_DEEP_MODE Off +set_global_assignment -name FORM_DDR_CLUSTERING_CLIQUE Off +set_global_assignment -name ALM_REGISTER_PACKING_EFFORT Medium +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION Off -family "Stratix IV" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria 10" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Stratix V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V GZ" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Cyclone V" +set_global_assignment -name RELATIVE_NEUTRON_FLUX 1.0 +set_global_assignment -name SEU_FIT_REPORT Off +set_global_assignment -name HYPER_RETIMER Off -family "Arria 10" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ADD_PIPELINING_MAX "-1" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ASYNCH_CLEAR Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_USER_PRESERVE_RESTRICTION Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_DSP_BLOCKS On +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_RAM_BLOCKS On +set_global_assignment -name EDA_SIMULATION_TOOL "" +set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TOOL "" +set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "" +set_global_assignment -name EDA_RESYNTHESIS_TOOL "" +set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION On +set_global_assignment -name COMPRESSION_MODE Off +set_global_assignment -name CLOCK_SOURCE Internal +set_global_assignment -name CONFIGURATION_CLOCK_FREQUENCY "10 MHz" +set_global_assignment -name CONFIGURATION_CLOCK_DIVISOR 1 +set_global_assignment -name ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE Off +set_global_assignment -name FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name MAX7000S_JTAG_USER_CODE FFFF +set_global_assignment -name STRATIX_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name APEX20K_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_JTAG_USER_CODE 7F +set_global_assignment -name MAX7000_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MAX7000_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name USE_CHECKSUM_AS_USERCODE On +set_global_assignment -name SECURITY_BIT Off +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone 10 LP" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX 10" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV E" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix IV" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX V" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX II" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GZ" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV GX" +set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE Auto +set_global_assignment -name PWRMGT_SLAVE_DEVICE_TYPE "PV3102 or EM1130" +set_global_assignment -name PWRMGT_SLAVE_DEVICE0_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE1_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE2_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE3_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE4_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE5_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE6_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE7_ADDRESS 0000000 +set_global_assignment -name PWRMGT_VOLTAGE_OUTPUT_FORMAT "Auto discovery" +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_M 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_B 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_R 0 +set_global_assignment -name APEX20K_CONFIGURATION_DEVICE Auto +set_global_assignment -name MERCURY_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE Auto +set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIX_CONFIGURATION_DEVICE Auto +set_global_assignment -name APEX20K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name STRATIX_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE On +set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE Off +set_global_assignment -name GENERATE_TTF_FILE Off +set_global_assignment -name GENERATE_RBF_FILE Off +set_global_assignment -name GENERATE_HEX_FILE Off +set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0 +set_global_assignment -name HEXOUT_FILE_COUNT_DIRECTION Up +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "As output driving an unspecified signal" +set_global_assignment -name RELEASE_CLEARS_BEFORE_TRI_STATES Off +set_global_assignment -name AUTO_RESTART_CONFIGURATION On +set_global_assignment -name HARDCOPYII_POWER_ON_EXTRA_DELAY Off +set_global_assignment -name STRATIXII_MRAM_COMPATIBILITY Off +set_global_assignment -name CYCLONEII_M4K_COMPATIBILITY On +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone 10 LP" +set_global_assignment -name ENABLE_OCT_DONE On -family "MAX 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV E" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Stratix V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V GZ" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria II GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone V" +set_global_assignment -name USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENT OFF +set_global_assignment -name ARRIAIIGX_RX_CDR_LOCKUP_FIX_OVERRIDE Off +set_global_assignment -name ENABLE_AUTONOMOUS_PCIE_HIP Off +set_global_assignment -name ENABLE_ADV_SEU_DETECTION Off +set_global_assignment -name POR_SCHEME "Instant ON" +set_global_assignment -name EN_USER_IO_WEAK_PULLUP On +set_global_assignment -name EN_SPI_IO_WEAK_PULLUP On +set_global_assignment -name POF_VERIFY_PROTECT Off +set_global_assignment -name ENABLE_SPI_MODE_CHECK Off +set_global_assignment -name FORCE_SSMCLK_TO_ISMCLK On +set_global_assignment -name FALLBACK_TO_EXTERNAL_FLASH Off +set_global_assignment -name EXTERNAL_FLASH_FALLBACK_ADDRESS 0 +set_global_assignment -name GENERATE_PMSF_FILES On +set_global_assignment -name START_TIME 0ns +set_global_assignment -name SIMULATION_MODE TIMING +set_global_assignment -name AUTO_USE_SIMULATION_PDB_NETLIST Off +set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS On +set_global_assignment -name SETUP_HOLD_DETECTION Off +set_global_assignment -name SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off +set_global_assignment -name CHECK_OUTPUTS Off +set_global_assignment -name SIMULATION_COVERAGE On +set_global_assignment -name SIMULATION_COMPLETE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name GLITCH_DETECTION Off +set_global_assignment -name GLITCH_INTERVAL 1ns +set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE Off +set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAF On +set_global_assignment -name SIMULATION_BUS_CHANNEL_GROUPING Off +set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH On +set_global_assignment -name VECTOR_COMPARE_TRIGGER_MODE INPUT_EDGE +set_global_assignment -name SIMULATION_NETLIST_VIEWER Off +set_global_assignment -name SIMULATION_INTERCONNECT_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATION_CELL_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATOR_GENERATE_POWERPLAY_VCD_FILE Off +set_global_assignment -name SIMULATOR_PVT_TIMING_MODEL_TYPE AUTO +set_global_assignment -name SIMULATION_WITH_AUTO_GLITCH_FILTERING AUTO +set_global_assignment -name DRC_TOP_FANOUT 50 +set_global_assignment -name DRC_FANOUT_EXCEEDING 30 +set_global_assignment -name DRC_GATED_CLOCK_FEED 30 +set_global_assignment -name HARDCOPY_FLOW_AUTOMATION MIGRATION_ONLY +set_global_assignment -name ENABLE_DRC_SETTINGS Off +set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES_THRESHOLD 25 +set_global_assignment -name DRC_DETAIL_MESSAGE_LIMIT 10 +set_global_assignment -name DRC_VIOLATION_MESSAGE_LIMIT 30 +set_global_assignment -name DRC_DEADLOCK_STATE_LIMIT 2 +set_global_assignment -name MERGE_HEX_FILE Off +set_global_assignment -name GENERATE_SVF_FILE Off +set_global_assignment -name GENERATE_ISC_FILE Off +set_global_assignment -name GENERATE_JAM_FILE Off +set_global_assignment -name GENERATE_JBC_FILE Off +set_global_assignment -name GENERATE_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_SVF_FILE Off +set_global_assignment -name GENERATE_CONFIG_ISC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JAM_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_HEXOUT_FILE Off +set_global_assignment -name ISP_CLAMP_STATE_DEFAULT "Tri-state" +set_global_assignment -name HPS_EARLY_IO_RELEASE Off +set_global_assignment -name SIGNALPROBE_ALLOW_OVERUSE Off +set_global_assignment -name SIGNALPROBE_DURING_NORMAL_COMPILATION Off +set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_USE_PVA On +set_global_assignment -name POWER_USE_INPUT_FILE "No File" +set_global_assignment -name POWER_USE_INPUT_FILES Off +set_global_assignment -name POWER_VCD_FILTER_GLITCHES On +set_global_assignment -name POWER_REPORT_SIGNAL_ACTIVITY Off +set_global_assignment -name POWER_REPORT_POWER_DISSIPATION Off +set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL +set_global_assignment -name POWER_AUTO_COMPUTE_TJ On +set_global_assignment -name POWER_TJ_VALUE 25 +set_global_assignment -name POWER_USE_TA_VALUE 25 +set_global_assignment -name POWER_USE_CUSTOM_COOLING_SOLUTION Off +set_global_assignment -name POWER_BOARD_TEMPERATURE 25 +set_global_assignment -name POWER_HPS_ENABLE Off +set_global_assignment -name POWER_HPS_PROC_FREQ 0.0 +set_global_assignment -name ENABLE_SMART_VOLTAGE_ID Off +set_global_assignment -name IGNORE_PARTITIONS Off +set_global_assignment -name AUTO_EXPORT_INCREMENTAL_COMPILATION Off +set_global_assignment -name RAPID_RECOMPILE_ASSIGNMENT_CHECKING On +set_global_assignment -name OUTPUT_IO_TIMING_ENDPOINT "Near End" +set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS On +set_global_assignment -name RTLV_SIMPLIFIED_LOGIC On +set_global_assignment -name RTLV_GROUP_RELATED_NODES On +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD Off +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD_TMV Off +set_global_assignment -name RTLV_GROUP_RELATED_NODES_TMV On +set_global_assignment -name EQC_CONSTANT_DFF_DETECTION On +set_global_assignment -name EQC_DUPLICATE_DFF_DETECTION On +set_global_assignment -name EQC_BBOX_MERGE On +set_global_assignment -name EQC_LVDS_MERGE On +set_global_assignment -name EQC_RAM_UNMERGING On +set_global_assignment -name EQC_DFF_SS_EMULATION On +set_global_assignment -name EQC_RAM_REGISTER_UNPACK On +set_global_assignment -name EQC_MAC_REGISTER_UNPACK On +set_global_assignment -name EQC_SET_PARTITION_BB_TO_VCC_GND On +set_global_assignment -name EQC_STRUCTURE_MATCHING On +set_global_assignment -name EQC_AUTO_BREAK_CONE On +set_global_assignment -name EQC_POWER_UP_COMPARE Off +set_global_assignment -name EQC_AUTO_COMP_LOOP_CUT On +set_global_assignment -name EQC_AUTO_INVERSION On +set_global_assignment -name EQC_AUTO_TERMINATE On +set_global_assignment -name EQC_SUB_CONE_REPORT Off +set_global_assignment -name EQC_RENAMING_RULES On +set_global_assignment -name EQC_PARAMETER_CHECK On +set_global_assignment -name EQC_AUTO_PORTSWAP On +set_global_assignment -name EQC_DETECT_DONT_CARES On +set_global_assignment -name EQC_SHOW_ALL_MAPPED_POINTS Off +set_global_assignment -name EDA_INPUT_GND_NAME GND -section_id ? +set_global_assignment -name EDA_INPUT_VCC_NAME VCC -section_id ? +set_global_assignment -name EDA_INPUT_DATA_FORMAT NONE -section_id ? +set_global_assignment -name EDA_SHOW_LMF_MAPPING_MESSAGES Off -section_id ? +set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY Off -section_id ? +set_global_assignment -name RESYNTHESIS_RETIMING FULL -section_id ? +set_global_assignment -name RESYNTHESIS_OPTIMIZATION_EFFORT Normal -section_id ? +set_global_assignment -name RESYNTHESIS_PHYSICAL_SYNTHESIS Normal -section_id ? +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS On -section_id ? +set_global_assignment -name VCCPD_VOLTAGE 3.3V -section_id ? +set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY "" -section_id ? +set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL Off -section_id ? +set_global_assignment -name EDA_ENABLE_IPUTF_MODE On -section_id ? +set_global_assignment -name EDA_NATIVELINK_PORTABLE_FILE_PATHS Off -section_id ? +set_global_assignment -name EDA_NATIVELINK_GENERATE_SCRIPT_ONLY Off -section_id ? +set_global_assignment -name EDA_WAIT_FOR_GUI_TOOL_COMPLETION Off -section_id ? +set_global_assignment -name EDA_TRUNCATE_LONG_HIERARCHY_PATHS Off -section_id ? +set_global_assignment -name EDA_FLATTEN_BUSES Off -section_id ? +set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS Off -section_id ? +set_global_assignment -name EDA_GENERATE_TIMING_CLOSURE_DATA Off -section_id ? +set_global_assignment -name EDA_GENERATE_POWER_INPUT_FILE Off -section_id ? +set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id ? +set_global_assignment -name EDA_RTL_SIM_MODE NOT_USED -section_id ? +set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY OFF -section_id ? +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST On -section_id ? +set_global_assignment -name EDA_WRITE_DEVICE_CONTROL_PORTS Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_TCL_FILE Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILE "All Except Combinational Logic Element Outputs" -section_id ? +set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING Off -section_id ? +set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id ? +set_global_assignment -name EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -section_id ? +set_global_assignment -name EDA_WRITER_DONT_WRITE_TOP_ENTITY Off -section_id ? +set_global_assignment -name EDA_VHDL_ARCH_NAME structure -section_id ? +set_global_assignment -name EDA_IBIS_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_EXTENDED_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING Off -section_id ? +set_global_assignment -name EDA_FORMAL_VERIFICATION_ALLOW_RETIMING Off -section_id ? +set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION PRE_CONFIG -section_id ? +set_global_assignment -name EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_IBIS_SPECIFICATION_VERSION 4p2 -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_OFFSET 0ns -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLE 50 -section_id ? +set_global_assignment -name APEX20K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MAX7K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MERCURY_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX6K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX10K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES On -section_id ? -entity ? +set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST Off -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name ALLOW_MULTIPLE_PERSONAS Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ASD_REGION_ID 1 -section_id ? -entity ? +set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS Off -section_id ? -entity ? +set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_INPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_BIDIRS On -section_id ? -entity ? +set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION Off -section_id ? -entity ? diff --git a/hardware/portapack_h4m/CPLD/Supra/portapack_h4m_cpld_derate.sdc b/hardware/portapack_h4m/CPLD/Supra/portapack_h4m_cpld_derate.sdc new file mode 100644 index 00000000..e3b239f5 --- /dev/null +++ b/hardware/portapack_h4m/CPLD/Supra/portapack_h4m_cpld_derate.sdc @@ -0,0 +1,2 @@ +set_timing_derate -late 2.0 +set_timing_derate -early 2.0 diff --git a/hardware/portapack_h4m/CPLD/Supra/portapack_h4m_cpld_download.svf b/hardware/portapack_h4m/CPLD/Supra/portapack_h4m_cpld_download.svf new file mode 100644 index 00000000..069583a1 --- /dev/null +++ b/hardware/portapack_h4m/CPLD/Supra/portapack_h4m_cpld_download.svf @@ -0,0 +1,5437 @@ +ENDDR IDLE; +ENDIR IDLE; +STATE IDLE; +RUNTEST 1 TCK; +SIR 10 TDI (3f8); +RUNTEST 100 TCK; +SIR 10 TDI (3f9); +RUNTEST 100 TCK; +SIR 10 TDI (3f8); +RUNTEST 100 TCK; +SIR 10 TDI (6); +RUNTEST 100 TCK; +SDR 32 TDI (00000000) TDO (00057620) MASK (ffffffff); +SIR 10 TDI (3fc); +RUNTEST 100 TCK; +SDR 8 TDI (f8); +SIR 10 TDI (3fe); +RUNTEST 100 TCK; +RUNTEST 0.5 SEC; +SIR 10 TDI (3fc); +RUNTEST 100 TCK; +SDR 8 TDI (f8); +SIR 10 TDI (3fa); +RUNTEST 100 TCK; +SDR 64 TDI (90e0000000010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (086a400020010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (ffff000010010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0400004530010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (04f0200008010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (b385942c28010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (c2ca165018010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (650b20f138010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (85942c8104010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (883c40b224010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (1ee0f70714010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (707b83dc34010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (3dc1ee0f0c010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (20f707b82c010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (7b81640b1c010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (c1ee0f703c010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (f707b83d02010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (83dc1ee022010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (b285902c12010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (42ca164032010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (dc1ee0590a010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (85907b832a010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (ca1650b21a010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0b2859423a010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (942ca16506010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (1650b28526010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (285942ca16010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (2ca1650b36010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (40b205940e010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (5902c8162e010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (a3e51f201e010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (b28f947c3e010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (c2ce167001010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (df0b385921010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0f707b8311010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (ca1641ee31010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0b28594209010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000a16529010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000004519010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (04ffdb0039010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (001fffff05010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000025010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000035010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000d010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002d010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001d010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e3d010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (001fffff03010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000023010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000013010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000033010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000b010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002b010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001b010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e3b010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (001fffff07010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000017010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000037010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000f010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002f010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001f010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e3f010040); +RUNTEST 0.002 SEC; +SDR 64 TDI (001fffff00810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000020810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000010810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000030810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000008810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000028810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e38810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (011fffff04810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0440210a24810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (1000842514810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (4041210a34810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (08a010500c810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (289220102c810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (620428041c810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e3c810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (001fffff02810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000022810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0060000012810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0118080032810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (010508000a810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (020040c42a810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (040000001a810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e3a810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (001fffff06810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0050000016810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0090840436810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (024210100e810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002e810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001e810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e3e810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (011fffff01810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000221810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000842011810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0108420831810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0421082009810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (018c60cc29810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e39810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000005810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000025810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0200000015810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000035810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000d810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (006000002d810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001d810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000a3d810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000003810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000023810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (1800000013810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0240000033810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000b810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002b810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (100000001b810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000013b810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000007810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (d800000017810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0012000037810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000f810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002f810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (808000001f810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000013f810040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000000410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000020410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (c000000010410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000001230410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000008410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000028410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0400000018410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000038410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000004410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000024410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000014410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (e800000034410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000030c410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002c410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001c410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003c410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000002410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000022410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0099000012410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (8264000032410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (090000030a410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002a410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001a410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003a410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000006410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000016410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (1080000036410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000040e410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002e410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001e410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003e410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000001410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000021410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (00a9000011410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0400000031410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0090008009410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000029410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000039410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000480005410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000025410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (4800000035410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000020020d410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002d410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001d410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000013d410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000003410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000023410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0009000013410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (c000000033410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (090481010b410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002b410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (100000001b410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003b410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0012000007410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000017410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (3104000037410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (004000020f410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002f410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001f410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003f410040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000100c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000020c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000010c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0402000030c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0010000208c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000028c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000004038c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000004c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000024c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000014c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (e000000034c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (180000030cc10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002cc10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (018000001cc10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003cc10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000002c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000022c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000012c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (e000000032c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000030ac10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002ac10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001ac10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003ac10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000006c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000440016c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (6000100036c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000008080ec10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (001000002ec10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001ec10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003ec10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000101c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000021c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000011c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0001000031c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0004414009c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0001000029c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000004039c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000005c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000025c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (4000000035c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (008820020dc10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002dc10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (800000001dc10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000213dc10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000003c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000023c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000013c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (c024000033c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000001010bc10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002bc10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001bc10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003bc10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000007c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000017c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (2804000037c10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000020fc10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (004000002fc10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001fc10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003fc10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000100210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000020210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000010210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0002000030210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000208210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0020000028210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000001038210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000010004210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (3000000024210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000800114210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000100034210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000c210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000100002c210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001c210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000083c210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000004002210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0700000022210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (1808000812210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (6001000032210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000a210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000400002a210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001a210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003a210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000006210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (3000000026210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (da00004016210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (6812000436210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000800230e210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002e210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001e210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003e210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000101210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (7800000021210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (c000002811210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000002031210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0082808309210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000a000029210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000439210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000005210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000025210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0c80000035210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (3397a0060d210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002d210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001d210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003d210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000003210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000023210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0001200013210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0402800033210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (100120000b210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (090480002b210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001b210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003b210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000007210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000017210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (2200000037210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (800000800f210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002f210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001f210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003f210040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000000a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000120a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000010a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000030a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000004008a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0990120028a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000038a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000004004a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000024a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000014a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000034a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (e08000000ca10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000032ca10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001ca10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (080000003ca10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000002a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000022a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000012a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000032a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (842400000aa10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000032aa10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001aa10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (008000003aa10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000006a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000016a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000036a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000ea10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000080b2ea10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001ea10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (018000003ea10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000001a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000121a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000011a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000031a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0024000009a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000014329a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000039a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000005a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000025a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0080000035a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (220000000da10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000802da10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001da10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003da10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000003a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000023a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0400000013a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (1880000033a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (400000000ba10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (080040082ba10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001ba10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003ba10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000007a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0100000017a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0400000037a10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (100000000fa10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000042fa10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001fa10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003fa10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000000610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000020610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0100000010610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0400000030610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (9000000008610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000008228610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000038610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000004610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000080024610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000014610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000034610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (080000000c610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002c610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001c610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003c610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000001202610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000800022610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000012610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000032610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (624000000a610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000480002a610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001a610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003a610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000006610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000016610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000036610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (701200000e610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000800132e610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001e610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003e610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000001610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000121610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000011610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000031610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000009610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0080008329610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000039610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000005610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000004025610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (3000000015610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000035610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000d610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002d610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001d610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003d610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000001003610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000040023610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (3700000013610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000933610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (124000000b610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000480002b610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001b610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003b610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000007610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (3000000017610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000a40137610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (880020000f610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000020002f610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000100001f610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003f610040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000000e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000120e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0800000010e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000800a830e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000100008e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000010028e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0004000018e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000038e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000204e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000024e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000014e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0200000034e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (080000000ce10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (100000002ce10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001ce10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003ce10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000003002e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000022e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000012e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (1890000032e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (100000000ae10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (020000002ae10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001ae10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003ae10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000006e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000016e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (d800000036e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (840000000ee10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (180000002ee10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (600000001ee10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003ee10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000001e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000121e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000011e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (c000000031e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0100002409e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0004610029e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (1000000039e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000105e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000025e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000035e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000de10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002de10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001de10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (018000003de10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000003e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000023e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000013e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000033e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (602400000be10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (090000002be10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001be10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003be10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000007e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000017e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000037e10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (680110000fe10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000132fe10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001fe10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003fe10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000000110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000120110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000010110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000030110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000008110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0002808328110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000038110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000c04110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000024110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000014110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000034110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (a80000000c110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000012c110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001c110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (800000003c110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000002902110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000022110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000012110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000240032110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (200000000a110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000021022a110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001a110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003a110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000006110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000016110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000100036110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (500000000e110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000012e110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001e110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (100000003e110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000001110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000121110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000011110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000040031110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000009110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000129110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000039110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000105110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000025110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0004000035110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000d110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (001800002d110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (006000001d110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003d110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000203110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000023110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000013110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000800033110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (600000000b110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002b110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001b110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003b110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000007110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000017110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000040037110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (780000000f110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0008000b2f110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001f110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003f110040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000000910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000120910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000010910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000200030910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000008910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0080008328910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000038910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000804910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000024910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000014910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000034910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000c910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002c910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (008000001c910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (018000003c910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000002910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0014000022910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000012910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000032910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (100000000a910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002a910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (002000001a910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003a910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000006910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000016910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000036910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (882200000e910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (120000002e910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001e910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003e910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000001910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000121910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000011910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000031910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000009910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000220029910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000039910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000004005910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000025910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0200000035910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000d910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000040002d910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001d910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003d910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000003910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000023910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000013910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (1800000033910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (600000000b910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000200002b910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001b910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003b910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000007910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000800017910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (d800000037910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (680000080f910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000c00b2f910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001f910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003f910040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000000510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000120510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000200010510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (c000000030510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000002008510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000008328510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000038510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000004510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000024510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000014510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000034510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (001200000c510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002c510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001c510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003c510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000002510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000022510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000012510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000032510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000a510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002a510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001a510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003a510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000006510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000016510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000100036510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000100000e510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002e510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001e510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003e510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000001510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000121510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000011510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000040031510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000200009510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000029510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000039510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000004005510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000025510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0010000035510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000d510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002d510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000400001d510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000400003d510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000003510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000500023510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000013510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0080000033510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000b510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002b510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000200001b510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (002000003b510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000007510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000017510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0020000037510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (040000000f510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002f510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001f510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003f510040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000000d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000120d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000010d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0100000030d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0080000008d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000028d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000038d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000004004d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000024d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000014d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0018000034d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (090250000cd10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000c0002cd10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001cd10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (100000003cd10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000102d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000022d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000012d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0081000032d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (120800000ad10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002ad10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001ad10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003ad10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000006d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000016d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000036d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (910000000ed10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002ed10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001ed10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003ed10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000001d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000121d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000011d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000031d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0400000009d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000120029d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000039d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000004005d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000025d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000035d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000dd10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (040020032dd10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001dd10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003dd10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000003d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000a000023d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000013d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000033d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (500000000bd10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (080001032bd10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001bd10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (088000003bd10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000007d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000017d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000037d10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (200000000fd10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000100a2fd10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001fd10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003fd10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000000310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0012000120310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000010310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000030310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (8000000008310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000028228310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000038310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000004004310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000024310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000014310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0008000034310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (010000000c310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000032c310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001c310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003c310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000002310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000022310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000012310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0001000032310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (520000000a310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000021032a310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001a310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003a310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000006310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000016310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000036310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (201200000e310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000080a2e310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (006000001e310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003e310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000001310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000121310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000011310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000031310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (8000000009310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000018229310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000039310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000004005310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000025310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000035310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000010000d310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000b2d310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001d310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003d310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000003310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000023310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000013310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000033310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (700080000b310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000802b310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001b310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003b310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000007310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000017310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000037310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (010000000f310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000002072f310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001f310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003f310040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000000b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000120b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000010b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000030b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (8400000008b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000028328b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000038b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000004004b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000002424b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000014b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (2000000034b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (080000000cb10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (100400002cb10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001cb10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003cb10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000002b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000022b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000012b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (e800000032b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (600004640ab10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (040080002ab10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001ab10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003ab10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000006b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000016b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (d000000036b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (701212020eb10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000232eb10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001eb10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (100000003eb10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000101b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000021b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000011b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000031b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000100a009b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000008329b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000039b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000004005b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000025b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (4008000035b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0c4002020db10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002db10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001db10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000400003db10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000003b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000023b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000013b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (1801000033b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (100000900bb10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002bb10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001bb10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (004000003bb10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000007b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000017b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (9800000037b10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (900818000fb10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000040002fb10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001fb10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003fb10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000000710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000a000020710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000010710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (c000000030710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0004806108710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0001220028710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000038710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000004004710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000024710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000014710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0080000034710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (200000000c710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (080040002c710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001c710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003c710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000002710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000022710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0400000012710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0080000032710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (400000000a710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (080000002a710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001a710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003a710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000006710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0100000016710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000036710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (100000000e710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002e710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001e710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003e710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000001710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000021710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0100000011710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000031710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (1000000009710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000129710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000039710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000005710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000025710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000035710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (010000000d710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (008400002d710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001d710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003d710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000003710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000023710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000013710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000033710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (020000000b710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (001080002b710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001b710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003b710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000007710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000010027710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000017710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000037710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (002000000f710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002f710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001f710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003f710040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000000f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000a820f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000010f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000030f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0004000008f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0001400028f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000038f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000004004f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000024f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000014f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000034f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000cf10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002cf10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000400001cf10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (048000003cf10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000002f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000022f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000012f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000032f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000af10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002af10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000200001af10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003af10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000006f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000016f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000036f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000ef10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000200002ef10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001ef10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003ef10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000001f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000021f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000011f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000031f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000009f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000400029f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000039f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000004005f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000025f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000035f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000df10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002df10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (008000001df10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003df10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000003f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000023f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000013f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000033f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000bf10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002bf10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (004000001bf10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003bf10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000007f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000017f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000037f10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000840000ff10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000800002ff10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001ff10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003ff10040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000000090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000120090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000010090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000030090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0002100008090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0020000028090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000038090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000004004090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000024090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000014090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000034090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (010000000c090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (010000002c090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001c090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003c090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000002090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000022090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000012090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000032090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (040000000a090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (080000002a090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001a090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003a090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000006090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000016090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000036090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (004000000e090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002e090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001e090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003e090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000001090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000021090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000011090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000031090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0400000009090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000029090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000039090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000004005090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000025090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000035090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000d090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002d090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001d090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003d090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000003090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000023090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000013090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000033090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000b090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002b090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001b090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003b090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000007090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000017090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000037090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000f090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002f090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001f090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003f090040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000000890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000120890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000010890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000030890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000008890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000028890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000038890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000004004890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000024890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000014890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000034890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000c890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002c890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001c890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003c890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000002890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000022890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000012890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000032890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000a890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002a890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001a890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003a890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000006890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000016890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000036890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000e890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002e890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (008000001e890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003e890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000001890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000021890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000011890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000031890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000009890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000029890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0040000019890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000039890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000004005890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000002025890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (2000000035890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000002000d890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002d890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001d890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003d890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000003890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000023890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000013890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (f800000033890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000a80b890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002b890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001b890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003b890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000007890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000017890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (9000000037890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (048000020f890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002f890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001f890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003f890040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000000490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000420490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000010490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000030490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000004a008490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000028490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000038490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000004490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000224490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (3000000014490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (9000000034490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000010810c490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002c490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001c490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003c490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000001202490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000022490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (3700000012490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (6000000932490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000080500a490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002a490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001a490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003a490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000006490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (3000000016490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (9800800136490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000002020e490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (020000002e490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001e490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003e490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000001490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000421490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0800000011490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (8004011831490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000a009490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (1000000029490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000039490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000005490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000025490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000035490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000100000d490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002d490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001d490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (008000003d490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007f03490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000023490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000013490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000033490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000010000b490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000180002b490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001b490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003b490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e07490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000017490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000037490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000090000f490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002f490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001f490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003f490040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e00c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000120c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000010c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000030c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000008c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000028c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0084280438c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007f04c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000024c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000014c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000034c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000cc90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002cc90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001cc90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003cc90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e02c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000022c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000012c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000032c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000ac90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002ac90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001ac90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003ac90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e06c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000040016c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000036c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000100000ec90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002ec90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001ec90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003ec90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e01c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000121c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000020011c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000031c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000100009c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0004400029c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000039c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e05c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000200025c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0001000035c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000dc90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000032dc90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001dc90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003dc90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e03c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000100023c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000013c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000800033c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (700000000bc90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000472bc90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001bc90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003bc90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e07c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000017c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000037c90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000fc90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0800d0032fc90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001fc90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003fc90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e00290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000120290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000010290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000030290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (8000000008290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0800018828290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000038290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e04290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000024290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000014290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000100034290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000c290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002c290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001c290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003c290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e02290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000022290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000012290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000080032290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000a290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002a290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001a290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003a290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e06290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000016290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000036290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000e290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002e290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001e290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003e290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e01290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000121290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000011290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000031290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000009290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000029290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000039290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e05290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000025290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000035290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000d290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002d290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001d290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003d290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e03290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000023290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000013290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000033290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000b290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002b290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001b290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003b290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e07290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000017290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000037290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000f290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002f290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001f290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003f290040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e00a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000120a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000010a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000030a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000008a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000028a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000038a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e04a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000024a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000014a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000034a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (010000000ca90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002ca90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001ca90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003ca90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e02a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000022a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000012a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000032a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (020000000aa90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002aa90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001aa90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003aa90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e06a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000016a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000800036a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000ea90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002ea90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001ea90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003ea90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e01a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000121a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000011a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0004000031a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0280000009a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000029a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000039a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e05a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000025a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000035a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000da90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000800002da90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001da90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003da90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e03a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000023a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000013a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000033a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000a0000ba90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (002000002ba90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001ba90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003ba90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e07a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000017a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0001000037a90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000010000fa90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002fa90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001fa90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003fa90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e00690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000120690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000010690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000800030690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000400008690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000028690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000038690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e04690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000024690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000014690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (9000000034690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000100c690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002c690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001c690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003c690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e02690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000022690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000012690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (7800000032690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000008600a690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002a690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001a690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003a690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e06690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000016690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (8001000036690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000004000e690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002e690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001e690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003e690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e01690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000121690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000011690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (8000800031690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000006809690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0002800029690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000039690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e05690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000025690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000035690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000d690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (080000002d690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001d690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003d690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e03690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000023690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000013690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000033690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (400000000b690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (080000002b690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001b690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003b690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e07690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000017690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000037690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (100000000f690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002f690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001f690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003f690040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e00e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000020e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000010e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000030e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (1000000008e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000028e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000038e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e04e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000024e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000014e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (9000000034e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000820080ce90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002ce90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001ce90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003ce90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e02e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000022e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000012e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (6000000032e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000214600ae90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002ae90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001ae90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003ae90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e06e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000016e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (9840000036e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (002204000ee90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002ee90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001ee90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003ee90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e01e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000121e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000011e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (8080000031e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000006809e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000029e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000039e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e05e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000025e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000035e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000de90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002de90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001de90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003de90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e03e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000023e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000013e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000033e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000060000be90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0c0000002be90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001be90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003be90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e07e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000017e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000037e90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000fe90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002fe90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001fe90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003fe90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e00190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000120190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000010190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000030190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000008190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000028190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000038190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e04190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000024190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000014190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000034190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000c190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000040002c190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001c190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003c190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e02190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000022190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000012190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000032190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000a190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000100002a190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001a190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003a190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e06190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000016190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000036190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000e190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002e190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001e190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003e190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e01190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000121190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000011190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000031190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000009190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000029190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000039190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e05190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000025190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000035190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000d190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002d190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001d190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003d190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e03190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000023190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000013190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000033190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000b190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002b190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001b190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003b190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e07190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000017190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000037190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000f190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002f190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001f190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003f190040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e00990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000120990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000010990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000030990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000008990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000028990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000038990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e04990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000024990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000014990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0040000034990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000c990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000080002c990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001c990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003c990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e02990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000022990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000012990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0080000032990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000a990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000080002a990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001a990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003a990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e06990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000016990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000036990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000010000e990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002e990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001e990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003e990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e01990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000121990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000011990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000031990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0014800009990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0050000029990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000039990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e05990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000025990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000035990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000d990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002d990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001d990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003d990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e03990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000023990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000013990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000033990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000b990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002b990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001b990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003b990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e07990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000017990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000037990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000f990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002f990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001f990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003f990040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e00590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000020590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000010590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000030590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000008590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000028590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000038590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e04590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000024590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000014590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (2000000034590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (040000000c590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (180000002c590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001c590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003c590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e02590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000022590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000012590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (e800000032590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (008008a10a590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002a590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001a590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003a590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e06590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000026590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000016590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (d000000036590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000004000e590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000002e590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001e590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003e590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e01590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000121590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000011590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000031590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000006809590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000029590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000019590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000039590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e05590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000025590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (9000000035590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000091010d590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000032d590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001d590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003d590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e03590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000023590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000013590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (6000000033590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (700000900b590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000004b2b590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001b590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003b590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e07590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000027590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000017590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (9800000037590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000004010f590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000032f590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000001f590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000003f590040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e00d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000020d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000010d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (8000000030d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (8000006008d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (00000a8828d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000018d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000038d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007e04d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (001fffff24d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0040010a14d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (1100042834d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000200200cd90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (e00000002cd90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (ffffffff1cd90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (ffffffff3cd90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007f02d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (001fffff22d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000012d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000032d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0180c6000ad90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (e66318302ad90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (ffffffff1ad90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (ffffffff3ad90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007f06d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (001fffff26d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0001800016d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0004000036d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000008000ed90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (e00208002ed90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (ffffffff1ed90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (ffffffff3ed90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007f01d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (011fffff21d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0440010a11d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (1101042831d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (4243422009d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (e561104829d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (ffffffff19d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (ffffffff39d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007f05d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (001fffff25d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000015d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000035d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000dd90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (e00000002dd90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (ffffffff1dd90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (ffffffff3dd90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007f03d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (001fffff23d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000013d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000033d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000bd90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (e00000002bd90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (ffffffff1bd90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (ffffffff3bd90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007f07d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (001fffff27d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000017d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000037d90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (000000000fd90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (e00000002fd90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (ffffffff1fd90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (ffffffff3fd90040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007f00390040); +RUNTEST 0.002 SEC; +SDR 64 TDI (001fffff20390040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000010390040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000030390040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000000008390040); +RUNTEST 0.002 SEC; +SDR 64 TDI (e000000028390040); +RUNTEST 0.002 SEC; +SDR 64 TDI (ffffffff18390040); +RUNTEST 0.002 SEC; +SDR 64 TDI (ffffffff38390040); +RUNTEST 0.002 SEC; +SDR 64 TDI (0000007f04390040); +RUNTEST 0.002 SEC; +SDR 64 TDI (403f005424390040); +RUNTEST 0.002 SEC; +SDR 64 TDI (f1f0000014390040); +RUNTEST 0.002 SEC; +SIR 10 TDI (3fd); +RUNTEST 100 TCK; +SDR 64 TDI (00000000000100c0) TDO (90e0000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000200100c0) TDO (086a400000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000100100c0) TDO (ffff000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000300100c0) TDO (0400004500000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000080100c0) TDO (04f0200000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000280100c0) TDO (b385942c00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000180100c0) TDO (c2ca165000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000380100c0) TDO (650b20f100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000040100c0) TDO (85942c8100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000240100c0) TDO (883c40b200000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000140100c0) TDO (1ee0f70700000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000340100c0) TDO (707b83dc00000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000c0100c0) TDO (3dc1ee0f00000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002c0100c0) TDO (20f707b800000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001c0100c0) TDO (7b81640b00000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003c0100c0) TDO (c1ee0f7000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000020100c0) TDO (f707b83d00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000220100c0) TDO (83dc1ee000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000120100c0) TDO (b285902c00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000320100c0) TDO (42ca164000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000a0100c0) TDO (dc1ee05900000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002a0100c0) TDO (85907b8300000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001a0100c0) TDO (ca1650b200000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003a0100c0) TDO (0b28594200000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000060100c0) TDO (942ca16500000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000260100c0) TDO (1650b28500000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000160100c0) TDO (285942ca00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000360100c0) TDO (2ca1650b00000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000e0100c0) TDO (40b2059400000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002e0100c0) TDO (5902c81600000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001e0100c0) TDO (a3e51f2000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003e0100c0) TDO (b28f947c00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000010100c0) TDO (c2ce167000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000210100c0) TDO (df0b385900000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000110100c0) TDO (0f707b8300000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000310100c0) TDO (ca1641ee00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000090100c0) TDO (0b28594200000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000290100c0) TDO (0000a16500000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000190100c0) TDO (0000004500000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000390100c0) TDO (04ffdb0000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000050100c0) TDO (001fffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000250100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000150100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000350100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000d0100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002d0100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001d0100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003d0100c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000030100c0) TDO (001fffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000230100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000130100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000330100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000b0100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002b0100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001b0100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003b0100c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000070100c0) TDO (001fffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000270100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000170100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000370100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000f0100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002f0100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001f0100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003f0100c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000008100c0) TDO (001fffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000208100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000108100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000308100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000088100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000288100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000188100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000388100c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000048100c0) TDO (011fffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000248100c0) TDO (0440210a00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000148100c0) TDO (1000842500000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000348100c0) TDO (4041210a00000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000c8100c0) TDO (08a0105000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002c8100c0) TDO (2892201000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001c8100c0) TDO (6204280400000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003c8100c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000028100c0) TDO (001fffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000228100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000128100c0) TDO (0060000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000328100c0) TDO (0118080000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000a8100c0) TDO (0105080000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002a8100c0) TDO (020040c400000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001a8100c0) TDO (0400000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003a8100c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000068100c0) TDO (001fffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000268100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000168100c0) TDO (0050000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000368100c0) TDO (0090840400000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000e8100c0) TDO (0242101000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002e8100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001e8100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003e8100c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000018100c0) TDO (011fffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000218100c0) TDO (0000000200000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000118100c0) TDO (0000842000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000318100c0) TDO (0108420800000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000098100c0) TDO (0421082000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000298100c0) TDO (018c60cc00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000198100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000398100c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000058100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000258100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000158100c0) TDO (0200000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000358100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000d8100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002d8100c0) TDO (0060000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001d8100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003d8100c0) TDO (0000000a00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000038100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000238100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000138100c0) TDO (1800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000338100c0) TDO (0240000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000b8100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002b8100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001b8100c0) TDO (1000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003b8100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000078100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000278100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000178100c0) TDO (d800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000378100c0) TDO (0012000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000f8100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002f8100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001f8100c0) TDO (8080000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003f8100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000004100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000204100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000104100c0) TDO (c000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000304100c0) TDO (0000001200000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000084100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000284100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000184100c0) TDO (0400000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000384100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000044100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000244100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000144100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000344100c0) TDO (e800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000c4100c0) TDO (0000000300000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002c4100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001c4100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003c4100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000024100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000224100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000124100c0) TDO (0099000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000324100c0) TDO (8264000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000a4100c0) TDO (0900000300000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002a4100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001a4100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003a4100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000064100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000264100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000164100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000364100c0) TDO (1080000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000e4100c0) TDO (0000000400000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002e4100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001e4100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003e4100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000014100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000214100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000114100c0) TDO (00a9000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000314100c0) TDO (0400000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000094100c0) TDO (0090008000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000294100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000194100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000394100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000054100c0) TDO (0000480000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000254100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000154100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000354100c0) TDO (4800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000d4100c0) TDO (0000200200000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002d4100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001d4100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003d4100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000034100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000234100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000134100c0) TDO (0009000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000334100c0) TDO (c000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000b4100c0) TDO (0904810100000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002b4100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001b4100c0) TDO (1000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003b4100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000074100c0) TDO (0012000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000274100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000174100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000374100c0) TDO (3104000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000f4100c0) TDO (0040000200000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002f4100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001f4100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003f4100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000000c100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000020c100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000010c100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000030c100c0) TDO (0402000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000008c100c0) TDO (0010000200000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000028c100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000018c100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000038c100c0) TDO (0000004000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000004c100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000024c100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000014c100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000034c100c0) TDO (e000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000cc100c0) TDO (1800000300000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002cc100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001cc100c0) TDO (0180000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003cc100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000002c100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000022c100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000012c100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000032c100c0) TDO (e000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000ac100c0) TDO (0000000300000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002ac100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001ac100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003ac100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000006c100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000026c100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000016c100c0) TDO (0000440000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000036c100c0) TDO (6000100000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000ec100c0) TDO (0000080800000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002ec100c0) TDO (0010000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001ec100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003ec100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000001c100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000021c100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000011c100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000031c100c0) TDO (0001000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000009c100c0) TDO (0004414000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000029c100c0) TDO (0001000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000019c100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000039c100c0) TDO (0000004000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000005c100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000025c100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000015c100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000035c100c0) TDO (4000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000dc100c0) TDO (0088200200000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002dc100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001dc100c0) TDO (8000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003dc100c0) TDO (0000002100000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000003c100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000023c100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000013c100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000033c100c0) TDO (c024000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000bc100c0) TDO (0000010100000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002bc100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001bc100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003bc100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000007c100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000027c100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000017c100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000037c100c0) TDO (2804000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000fc100c0) TDO (0000000200000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002fc100c0) TDO (0040000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001fc100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003fc100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000002100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000202100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000102100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000302100c0) TDO (0002000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000082100c0) TDO (0000000200000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000282100c0) TDO (0020000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000182100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000382100c0) TDO (0000001000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000042100c0) TDO (0000010000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000242100c0) TDO (3000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000142100c0) TDO (0000800100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000342100c0) TDO (0000100000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000c2100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002c2100c0) TDO (0001000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001c2100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003c2100c0) TDO (0000000800000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000022100c0) TDO (0000004000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000222100c0) TDO (0700000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000122100c0) TDO (1808000800000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000322100c0) TDO (6001000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000a2100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002a2100c0) TDO (0004000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001a2100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003a2100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000062100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000262100c0) TDO (3000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000162100c0) TDO (da00004000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000362100c0) TDO (6812000400000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000e2100c0) TDO (0008002300000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002e2100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001e2100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003e2100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000012100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000212100c0) TDO (7800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000112100c0) TDO (c000002800000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000312100c0) TDO (0000002000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000092100c0) TDO (0082808300000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000292100c0) TDO (000a000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000192100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000392100c0) TDO (0000000400000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000052100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000252100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000152100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000352100c0) TDO (0c80000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000d2100c0) TDO (3397a00600000040) MASK (ffffffff00000000); +SDR 64 TDI (000000002d2100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001d2100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003d2100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000032100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000232100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000132100c0) TDO (0001200000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000332100c0) TDO (0402800000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000b2100c0) TDO (1001200000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002b2100c0) TDO (0904800000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001b2100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003b2100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000072100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000272100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000172100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000372100c0) TDO (2200000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000f2100c0) TDO (8000008000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002f2100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001f2100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003f2100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000000a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000020a100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000010a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000030a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000008a100c0) TDO (0000004000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000028a100c0) TDO (0990120000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000018a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000038a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000004a100c0) TDO (0000004000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000024a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000014a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000034a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000ca100c0) TDO (e080000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002ca100c0) TDO (0000000300000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001ca100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003ca100c0) TDO (0800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000002a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000022a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000012a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000032a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000aa100c0) TDO (8424000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002aa100c0) TDO (0000000300000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001aa100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003aa100c0) TDO (0080000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000006a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000026a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000016a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000036a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000ea100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002ea100c0) TDO (0000080b00000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001ea100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003ea100c0) TDO (0180000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000001a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000021a100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000011a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000031a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000009a100c0) TDO (0024000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000029a100c0) TDO (0000014300000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000019a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000039a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000005a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000025a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000015a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000035a100c0) TDO (0080000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000da100c0) TDO (2200000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002da100c0) TDO (0000008000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001da100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003da100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000003a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000023a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000013a100c0) TDO (0400000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000033a100c0) TDO (1880000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000ba100c0) TDO (4000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002ba100c0) TDO (0800400800000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001ba100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003ba100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000007a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000027a100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000017a100c0) TDO (0100000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000037a100c0) TDO (0400000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000fa100c0) TDO (1000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002fa100c0) TDO (0000000400000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001fa100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003fa100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000006100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000206100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000106100c0) TDO (0100000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000306100c0) TDO (0400000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000086100c0) TDO (9000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000286100c0) TDO (0000008200000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000186100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000386100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000046100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000246100c0) TDO (0000080000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000146100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000346100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000c6100c0) TDO (0800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002c6100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001c6100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003c6100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000026100c0) TDO (0000001200000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000226100c0) TDO (0000800000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000126100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000326100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000a6100c0) TDO (6240000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002a6100c0) TDO (0004800000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001a6100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003a6100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000066100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000266100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000166100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000366100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000e6100c0) TDO (7012000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002e6100c0) TDO (0008001300000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001e6100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003e6100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000016100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000216100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000116100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000316100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000096100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000296100c0) TDO (0080008300000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000196100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000396100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000056100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000256100c0) TDO (0000004000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000156100c0) TDO (3000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000356100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000d6100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002d6100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001d6100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003d6100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000036100c0) TDO (0000001000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000236100c0) TDO (0000040000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000136100c0) TDO (3700000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000336100c0) TDO (0000000900000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000b6100c0) TDO (1240000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002b6100c0) TDO (0004800000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001b6100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003b6100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000076100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000276100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000176100c0) TDO (3000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000376100c0) TDO (0000a40100000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000f6100c0) TDO (8800200000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002f6100c0) TDO (0000200000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001f6100c0) TDO (0001000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003f6100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000000e100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000020e100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000010e100c0) TDO (0800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000030e100c0) TDO (000800a800000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000008e100c0) TDO (0000100000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000028e100c0) TDO (0000010000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000018e100c0) TDO (0004000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000038e100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000004e100c0) TDO (0000000200000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000024e100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000014e100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000034e100c0) TDO (0200000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000ce100c0) TDO (0800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002ce100c0) TDO (1000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001ce100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003ce100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000002e100c0) TDO (0000003000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000022e100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000012e100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000032e100c0) TDO (1890000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000ae100c0) TDO (1000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002ae100c0) TDO (0200000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001ae100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003ae100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000006e100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000026e100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000016e100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000036e100c0) TDO (d800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000ee100c0) TDO (8400000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002ee100c0) TDO (1800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001ee100c0) TDO (6000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003ee100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000001e100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000021e100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000011e100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000031e100c0) TDO (c000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000009e100c0) TDO (0100002400000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000029e100c0) TDO (0004610000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000019e100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000039e100c0) TDO (1000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000005e100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000025e100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000015e100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000035e100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000de100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002de100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001de100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003de100c0) TDO (0180000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000003e100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000023e100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000013e100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000033e100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000be100c0) TDO (6024000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002be100c0) TDO (0900000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001be100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003be100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000007e100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000027e100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000017e100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000037e100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000fe100c0) TDO (6801100000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002fe100c0) TDO (0000001300000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001fe100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003fe100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000001100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000201100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000101100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000301100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000081100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000281100c0) TDO (0002808300000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000181100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000381100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000041100c0) TDO (0000000c00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000241100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000141100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000341100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000c1100c0) TDO (a800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002c1100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001c1100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003c1100c0) TDO (8000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000021100c0) TDO (0000002900000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000221100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000121100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000321100c0) TDO (0000240000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000a1100c0) TDO (2000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002a1100c0) TDO (0000210200000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001a1100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003a1100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000061100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000261100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000161100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000361100c0) TDO (0000100000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000e1100c0) TDO (5000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002e1100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001e1100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003e1100c0) TDO (1000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000011100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000211100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000111100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000311100c0) TDO (0000040000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000091100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000291100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000191100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000391100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000051100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000251100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000151100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000351100c0) TDO (0004000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000d1100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002d1100c0) TDO (0018000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001d1100c0) TDO (0060000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003d1100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000031100c0) TDO (0000000200000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000231100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000131100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000331100c0) TDO (0000800000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000b1100c0) TDO (6000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002b1100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001b1100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003b1100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000071100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000271100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000171100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000371100c0) TDO (0000040000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000f1100c0) TDO (7800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002f1100c0) TDO (0008000b00000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001f1100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003f1100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000009100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000209100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000109100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000309100c0) TDO (0000200000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000089100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000289100c0) TDO (0080008300000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000189100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000389100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000049100c0) TDO (0000000800000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000249100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000149100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000349100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000c9100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002c9100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001c9100c0) TDO (0080000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003c9100c0) TDO (0180000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000029100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000229100c0) TDO (0014000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000129100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000329100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000a9100c0) TDO (1000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002a9100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001a9100c0) TDO (0020000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003a9100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000069100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000269100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000169100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000369100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000e9100c0) TDO (8822000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002e9100c0) TDO (1200000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001e9100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003e9100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000019100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000219100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000119100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000319100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000099100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000299100c0) TDO (0000220000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000199100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000399100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000059100c0) TDO (0000004000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000259100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000159100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000359100c0) TDO (0200000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000d9100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002d9100c0) TDO (0000400000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001d9100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003d9100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000039100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000239100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000139100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000339100c0) TDO (1800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000b9100c0) TDO (6000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002b9100c0) TDO (0002000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001b9100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003b9100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000079100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000279100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000179100c0) TDO (0000800000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000379100c0) TDO (d800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000f9100c0) TDO (6800000800000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002f9100c0) TDO (0000c00b00000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001f9100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003f9100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000005100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000205100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000105100c0) TDO (0000200000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000305100c0) TDO (c000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000085100c0) TDO (0000002000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000285100c0) TDO (0000008300000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000185100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000385100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000045100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000245100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000145100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000345100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000c5100c0) TDO (0012000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002c5100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001c5100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003c5100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000025100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000225100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000125100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000325100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000a5100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002a5100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001a5100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003a5100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000065100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000265100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000165100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000365100c0) TDO (0000100000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000e5100c0) TDO (0001000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002e5100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001e5100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003e5100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000015100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000215100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000115100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000315100c0) TDO (0000040000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000095100c0) TDO (0000200000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000295100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000195100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000395100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000055100c0) TDO (0000004000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000255100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000155100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000355100c0) TDO (0010000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000d5100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002d5100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001d5100c0) TDO (0004000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003d5100c0) TDO (0004000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000035100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000235100c0) TDO (0000500000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000135100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000335100c0) TDO (0080000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000b5100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002b5100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001b5100c0) TDO (0002000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003b5100c0) TDO (0020000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000075100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000275100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000175100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000375100c0) TDO (0020000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000f5100c0) TDO (0400000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002f5100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001f5100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003f5100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000000d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000020d100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000010d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000030d100c0) TDO (0100000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000008d100c0) TDO (0080000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000028d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000018d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000038d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000004d100c0) TDO (0000004000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000024d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000014d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000034d100c0) TDO (0018000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000cd100c0) TDO (0902500000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002cd100c0) TDO (0000c00000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001cd100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003cd100c0) TDO (1000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000002d100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000022d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000012d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000032d100c0) TDO (0081000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000ad100c0) TDO (1208000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002ad100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001ad100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003ad100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000006d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000026d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000016d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000036d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000ed100c0) TDO (9100000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002ed100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001ed100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003ed100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000001d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000021d100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000011d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000031d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000009d100c0) TDO (0400000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000029d100c0) TDO (0000120000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000019d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000039d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000005d100c0) TDO (0000004000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000025d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000015d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000035d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000dd100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002dd100c0) TDO (0400200300000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001dd100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003dd100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000003d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000023d100c0) TDO (000a000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000013d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000033d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000bd100c0) TDO (5000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002bd100c0) TDO (0800010300000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001bd100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003bd100c0) TDO (0880000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000007d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000027d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000017d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000037d100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000fd100c0) TDO (2000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002fd100c0) TDO (0000100a00000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001fd100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003fd100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000003100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000203100c0) TDO (0012000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000103100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000303100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000083100c0) TDO (8000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000283100c0) TDO (0000028200000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000183100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000383100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000043100c0) TDO (0000004000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000243100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000143100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000343100c0) TDO (0008000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000c3100c0) TDO (0100000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002c3100c0) TDO (0000000300000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001c3100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003c3100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000023100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000223100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000123100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000323100c0) TDO (0001000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000a3100c0) TDO (5200000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002a3100c0) TDO (0000210300000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001a3100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003a3100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000063100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000263100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000163100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000363100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000e3100c0) TDO (2012000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002e3100c0) TDO (0000080a00000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001e3100c0) TDO (0060000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003e3100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000013100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000213100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000113100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000313100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000093100c0) TDO (8000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000293100c0) TDO (0000018200000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000193100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000393100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000053100c0) TDO (0000004000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000253100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000153100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000353100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000d3100c0) TDO (0000100000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002d3100c0) TDO (0000000b00000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001d3100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003d3100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000033100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000233100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000133100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000333100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000b3100c0) TDO (7000800000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002b3100c0) TDO (0000008000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001b3100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003b3100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000073100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000273100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000173100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000373100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000f3100c0) TDO (0100000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002f3100c0) TDO (0000020700000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001f3100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003f3100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000000b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000020b100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000010b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000030b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000008b100c0) TDO (8400000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000028b100c0) TDO (0000028300000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000018b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000038b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000004b100c0) TDO (0000004000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000024b100c0) TDO (0000002400000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000014b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000034b100c0) TDO (2000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000cb100c0) TDO (0800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002cb100c0) TDO (1004000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001cb100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003cb100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000002b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000022b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000012b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000032b100c0) TDO (e800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000ab100c0) TDO (6000046400000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002ab100c0) TDO (0400800000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001ab100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003ab100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000006b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000026b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000016b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000036b100c0) TDO (d000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000eb100c0) TDO (7012120200000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002eb100c0) TDO (0000002300000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001eb100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003eb100c0) TDO (1000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000001b100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000021b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000011b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000031b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000009b100c0) TDO (000100a000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000029b100c0) TDO (0000008300000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000019b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000039b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000005b100c0) TDO (0000004000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000025b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000015b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000035b100c0) TDO (4008000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000db100c0) TDO (0c40020200000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002db100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001db100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003db100c0) TDO (0004000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000003b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000023b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000013b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000033b100c0) TDO (1801000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000bb100c0) TDO (1000009000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002bb100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001bb100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003bb100c0) TDO (0040000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000007b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000027b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000017b100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000037b100c0) TDO (9800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000fb100c0) TDO (9008180000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002fb100c0) TDO (0000400000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001fb100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003fb100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000007100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000207100c0) TDO (000a000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000107100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000307100c0) TDO (c000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000087100c0) TDO (0004806100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000287100c0) TDO (0001220000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000187100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000387100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000047100c0) TDO (0000004000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000247100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000147100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000347100c0) TDO (0080000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000c7100c0) TDO (2000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002c7100c0) TDO (0800400000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001c7100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003c7100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000027100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000227100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000127100c0) TDO (0400000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000327100c0) TDO (0080000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000a7100c0) TDO (4000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002a7100c0) TDO (0800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001a7100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003a7100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000067100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000267100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000167100c0) TDO (0100000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000367100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000e7100c0) TDO (1000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002e7100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001e7100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003e7100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000017100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000217100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000117100c0) TDO (0100000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000317100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000097100c0) TDO (1000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000297100c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000197100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000397100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000057100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000257100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000157100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000357100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000d7100c0) TDO (0100000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002d7100c0) TDO (0084000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001d7100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003d7100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000037100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000237100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000137100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000337100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000b7100c0) TDO (0200000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002b7100c0) TDO (0010800000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001b7100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003b7100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000077100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000277100c0) TDO (0000010000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000177100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000377100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000f7100c0) TDO (0020000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002f7100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001f7100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003f7100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000000f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000020f100c0) TDO (000000a800000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000010f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000030f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000008f100c0) TDO (0004000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000028f100c0) TDO (0001400000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000018f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000038f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000004f100c0) TDO (0000004000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000024f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000014f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000034f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000cf100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002cf100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001cf100c0) TDO (0004000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003cf100c0) TDO (0480000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000002f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000022f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000012f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000032f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000af100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002af100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001af100c0) TDO (0002000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003af100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000006f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000026f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000016f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000036f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000ef100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002ef100c0) TDO (0002000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001ef100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003ef100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000001f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000021f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000011f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000031f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000009f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000029f100c0) TDO (0000400000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000019f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000039f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000005f100c0) TDO (0000004000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000025f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000015f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000035f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000df100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002df100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001df100c0) TDO (0080000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003df100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000003f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000023f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000013f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000033f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000bf100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002bf100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001bf100c0) TDO (0040000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003bf100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000007f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000027f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000017f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000037f100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000ff100c0) TDO (0008400000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002ff100c0) TDO (0008000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001ff100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003ff100c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000000900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000200900c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000100900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000300900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000080900c0) TDO (0002100000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000280900c0) TDO (0020000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000180900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000380900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000040900c0) TDO (0000004000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000240900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000140900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000340900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000c0900c0) TDO (0100000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002c0900c0) TDO (0100000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001c0900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003c0900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000020900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000220900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000120900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000320900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000a0900c0) TDO (0400000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002a0900c0) TDO (0800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001a0900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003a0900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000060900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000260900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000160900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000360900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000e0900c0) TDO (0040000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002e0900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001e0900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003e0900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000010900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000210900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000110900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000310900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000090900c0) TDO (0400000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000290900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000190900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000390900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000050900c0) TDO (0000004000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000250900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000150900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000350900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000d0900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002d0900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001d0900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003d0900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000030900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000230900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000130900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000330900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000b0900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002b0900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001b0900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003b0900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000070900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000270900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000170900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000370900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000f0900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002f0900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001f0900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003f0900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000008900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000208900c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000108900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000308900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000088900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000288900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000188900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000388900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000048900c0) TDO (0000004000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000248900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000148900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000348900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000c8900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002c8900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001c8900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003c8900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000028900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000228900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000128900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000328900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000a8900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002a8900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001a8900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003a8900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000068900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000268900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000168900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000368900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000e8900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002e8900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001e8900c0) TDO (0080000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003e8900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000018900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000218900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000118900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000318900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000098900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000298900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000198900c0) TDO (0040000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000398900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000058900c0) TDO (0000004000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000258900c0) TDO (0000002000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000158900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000358900c0) TDO (2000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000d8900c0) TDO (0000020000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002d8900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001d8900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003d8900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000038900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000238900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000138900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000338900c0) TDO (f800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000b8900c0) TDO (000000a800000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002b8900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001b8900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003b8900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000078900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000278900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000178900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000378900c0) TDO (9000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000f8900c0) TDO (0480000200000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002f8900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001f8900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003f8900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000004900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000204900c0) TDO (0000000400000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000104900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000304900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000084900c0) TDO (000004a000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000284900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000184900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000384900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000044900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000244900c0) TDO (0000000200000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000144900c0) TDO (3000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000344900c0) TDO (9000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000c4900c0) TDO (0000108100000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002c4900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001c4900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003c4900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000024900c0) TDO (0000001200000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000224900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000124900c0) TDO (3700000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000324900c0) TDO (6000000900000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000a4900c0) TDO (0000805000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002a4900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001a4900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003a4900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000064900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000264900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000164900c0) TDO (3000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000364900c0) TDO (9800800100000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000e4900c0) TDO (0000020200000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002e4900c0) TDO (0200000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001e4900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003e4900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000014900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000214900c0) TDO (0000000400000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000114900c0) TDO (0800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000314900c0) TDO (8004011800000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000094900c0) TDO (000000a000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000294900c0) TDO (1000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000194900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000394900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000054900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000254900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000154900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000354900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000d4900c0) TDO (0001000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002d4900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001d4900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003d4900c0) TDO (0080000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000034900c0) TDO (0000007f00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000234900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000134900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000334900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000b4900c0) TDO (0000100000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002b4900c0) TDO (0001800000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001b4900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003b4900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000074900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000274900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000174900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000374900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000f4900c0) TDO (0000900000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002f4900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001f4900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003f4900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000000c900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000020c900c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000010c900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000030c900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000008c900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000028c900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000018c900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000038c900c0) TDO (0084280400000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000004c900c0) TDO (0000007f00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000024c900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000014c900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000034c900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000cc900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002cc900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001cc900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003cc900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000002c900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000022c900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000012c900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000032c900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000ac900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002ac900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001ac900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003ac900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000006c900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000026c900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000016c900c0) TDO (0000040000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000036c900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000ec900c0) TDO (0001000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002ec900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001ec900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003ec900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000001c900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000021c900c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000011c900c0) TDO (0000020000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000031c900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000009c900c0) TDO (0000100000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000029c900c0) TDO (0004400000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000019c900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000039c900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000005c900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000025c900c0) TDO (0000200000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000015c900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000035c900c0) TDO (0001000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000dc900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002dc900c0) TDO (0000000300000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001dc900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003dc900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000003c900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000023c900c0) TDO (0000100000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000013c900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000033c900c0) TDO (0000800000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000bc900c0) TDO (7000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002bc900c0) TDO (0000004700000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001bc900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003bc900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000007c900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000027c900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000017c900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000037c900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000fc900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002fc900c0) TDO (0800d00300000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001fc900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003fc900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000002900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000202900c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000102900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000302900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000082900c0) TDO (8000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000282900c0) TDO (0800018800000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000182900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000382900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000042900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000242900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000142900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000342900c0) TDO (0000100000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000c2900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002c2900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001c2900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003c2900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000022900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000222900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000122900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000322900c0) TDO (0000080000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000a2900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002a2900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001a2900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003a2900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000062900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000262900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000162900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000362900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000e2900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002e2900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001e2900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003e2900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000012900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000212900c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000112900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000312900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000092900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000292900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000192900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000392900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000052900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000252900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000152900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000352900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000d2900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002d2900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001d2900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003d2900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000032900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000232900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000132900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000332900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000b2900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002b2900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001b2900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003b2900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000072900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000272900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000172900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000372900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000f2900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002f2900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001f2900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003f2900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000000a900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000020a900c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000010a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000030a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000008a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000028a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000018a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000038a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000004a900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000024a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000014a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000034a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000ca900c0) TDO (0100000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002ca900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001ca900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003ca900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000002a900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000022a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000012a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000032a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000aa900c0) TDO (0200000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002aa900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001aa900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003aa900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000006a900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000026a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000016a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000036a900c0) TDO (0000800000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000ea900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002ea900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001ea900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003ea900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000001a900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000021a900c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000011a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000031a900c0) TDO (0004000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000009a900c0) TDO (0280000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000029a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000019a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000039a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000005a900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000025a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000015a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000035a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000da900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002da900c0) TDO (0008000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001da900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003da900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000003a900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000023a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000013a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000033a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000ba900c0) TDO (0000a00000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002ba900c0) TDO (0020000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001ba900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003ba900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000007a900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000027a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000017a900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000037a900c0) TDO (0001000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000fa900c0) TDO (0000100000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002fa900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001fa900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003fa900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000006900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000206900c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000106900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000306900c0) TDO (0000800000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000086900c0) TDO (0000400000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000286900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000186900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000386900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000046900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000246900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000146900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000346900c0) TDO (9000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000c6900c0) TDO (0000001000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002c6900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001c6900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003c6900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000026900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000226900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000126900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000326900c0) TDO (7800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000a6900c0) TDO (0000086000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002a6900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001a6900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003a6900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000066900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000266900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000166900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000366900c0) TDO (8001000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000e6900c0) TDO (0000040000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002e6900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001e6900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003e6900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000016900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000216900c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000116900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000316900c0) TDO (8000800000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000096900c0) TDO (0000006800000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000296900c0) TDO (0002800000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000196900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000396900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000056900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000256900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000156900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000356900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000d6900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002d6900c0) TDO (0800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001d6900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003d6900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000036900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000236900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000136900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000336900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000b6900c0) TDO (4000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002b6900c0) TDO (0800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001b6900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003b6900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000076900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000276900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000176900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000376900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000f6900c0) TDO (1000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002f6900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001f6900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003f6900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000000e900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000020e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000010e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000030e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000008e900c0) TDO (1000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000028e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000018e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000038e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000004e900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000024e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000014e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000034e900c0) TDO (9000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000ce900c0) TDO (0008200800000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002ce900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001ce900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003ce900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000002e900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000022e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000012e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000032e900c0) TDO (6000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000ae900c0) TDO (0002146000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002ae900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001ae900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003ae900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000006e900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000026e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000016e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000036e900c0) TDO (9840000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000ee900c0) TDO (0022040000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002ee900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001ee900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003ee900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000001e900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000021e900c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000011e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000031e900c0) TDO (8080000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000009e900c0) TDO (0000006800000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000029e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000019e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000039e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000005e900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000025e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000015e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000035e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000de900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002de900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001de900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003de900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000003e900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000023e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000013e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000033e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000be900c0) TDO (0000600000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002be900c0) TDO (0c00000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001be900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003be900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000007e900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000027e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000017e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000037e900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000fe900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002fe900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001fe900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003fe900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000001900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000201900c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000101900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000301900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000081900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000281900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000181900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000381900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000041900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000241900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000141900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000341900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000c1900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002c1900c0) TDO (0000400000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001c1900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003c1900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000021900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000221900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000121900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000321900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000a1900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002a1900c0) TDO (0001000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001a1900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003a1900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000061900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000261900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000161900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000361900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000e1900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002e1900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001e1900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003e1900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000011900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000211900c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000111900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000311900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000091900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000291900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000191900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000391900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000051900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000251900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000151900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000351900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000d1900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002d1900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001d1900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003d1900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000031900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000231900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000131900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000331900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000b1900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002b1900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001b1900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003b1900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000071900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000271900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000171900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000371900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000f1900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002f1900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001f1900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003f1900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000009900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000209900c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000109900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000309900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000089900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000289900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000189900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000389900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000049900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000249900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000149900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000349900c0) TDO (0040000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000c9900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002c9900c0) TDO (0000800000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001c9900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003c9900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000029900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000229900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000129900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000329900c0) TDO (0080000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000a9900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002a9900c0) TDO (0000800000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001a9900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003a9900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000069900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000269900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000169900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000369900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000e9900c0) TDO (0000100000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002e9900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001e9900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003e9900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000019900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000219900c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000119900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000319900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000099900c0) TDO (0014800000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000299900c0) TDO (0050000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000199900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000399900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000059900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000259900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000159900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000359900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000d9900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002d9900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001d9900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003d9900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000039900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000239900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000139900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000339900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000b9900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002b9900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001b9900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003b9900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000079900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000279900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000179900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000379900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000f9900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002f9900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001f9900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003f9900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000005900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000205900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000105900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000305900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000085900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000285900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000185900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000385900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000045900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000245900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000145900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000345900c0) TDO (2000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000c5900c0) TDO (0400000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002c5900c0) TDO (1800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001c5900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003c5900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000025900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000225900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000125900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000325900c0) TDO (e800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000a5900c0) TDO (008008a100000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002a5900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001a5900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003a5900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000065900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000265900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000165900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000365900c0) TDO (d000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000e5900c0) TDO (0000040000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002e5900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001e5900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003e5900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000015900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000215900c0) TDO (0000000100000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000115900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000315900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000095900c0) TDO (0000006800000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000295900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000195900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000395900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000055900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000255900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000155900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000355900c0) TDO (9000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000d5900c0) TDO (0000910100000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002d5900c0) TDO (0000000300000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001d5900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003d5900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000035900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000235900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000135900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000335900c0) TDO (6000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000b5900c0) TDO (7000009000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002b5900c0) TDO (0000004b00000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001b5900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003b5900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000075900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000275900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000175900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000375900c0) TDO (9800000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000f5900c0) TDO (0000040100000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002f5900c0) TDO (0000000300000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001f5900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003f5900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000000d900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000020d900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000010d900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000030d900c0) TDO (8000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000008d900c0) TDO (8000006000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000028d900c0) TDO (00000a8800000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000018d900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000038d900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000004d900c0) TDO (0000007e00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000024d900c0) TDO (001fffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000014d900c0) TDO (0040010a00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000034d900c0) TDO (1100042800000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000cd900c0) TDO (0002002000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002cd900c0) TDO (e000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001cd900c0) TDO (ffffffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003cd900c0) TDO (ffffffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000002d900c0) TDO (0000007f00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000022d900c0) TDO (001fffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000012d900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000032d900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000ad900c0) TDO (0180c60000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002ad900c0) TDO (e663183000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001ad900c0) TDO (ffffffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003ad900c0) TDO (ffffffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000006d900c0) TDO (0000007f00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000026d900c0) TDO (001fffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000016d900c0) TDO (0001800000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000036d900c0) TDO (0004000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000ed900c0) TDO (0000080000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002ed900c0) TDO (e002080000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001ed900c0) TDO (ffffffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003ed900c0) TDO (ffffffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000001d900c0) TDO (0000007f00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000021d900c0) TDO (011fffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000011d900c0) TDO (0440010a00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000031d900c0) TDO (1101042800000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000009d900c0) TDO (4243422000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000029d900c0) TDO (e561104800000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000019d900c0) TDO (ffffffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000039d900c0) TDO (ffffffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000005d900c0) TDO (0000007f00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000025d900c0) TDO (001fffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000015d900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000035d900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000dd900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002dd900c0) TDO (e000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001dd900c0) TDO (ffffffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003dd900c0) TDO (ffffffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000003d900c0) TDO (0000007f00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000023d900c0) TDO (001fffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000013d900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000033d900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000bd900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002bd900c0) TDO (e000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001bd900c0) TDO (ffffffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003bd900c0) TDO (ffffffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000007d900c0) TDO (0000007f00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000027d900c0) TDO (001fffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000017d900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (0000000037d900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000000fd900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000002fd900c0) TDO (e000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (000000001fd900c0) TDO (ffffffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (000000003fd900c0) TDO (ffffffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000003900c0) TDO (0000007f00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000203900c0) TDO (001fffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000103900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000303900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000083900c0) TDO (0000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000283900c0) TDO (e000000000000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000183900c0) TDO (ffffffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000383900c0) TDO (ffffffff00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000043900c0) TDO (0000007f00000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000243900c0) TDO (403f005400000000) MASK (ffffffff00000000); +SDR 64 TDI (00000000143900c0) TDO (f1f0000000000000) MASK (ffffffff00000000); +SIR 10 TDI (3f7); +RUNTEST 100 TCK; diff --git a/hardware/portapack_h4m/CPLD/Supra/portapack_h4m_cpld_routed.v b/hardware/portapack_h4m/CPLD/Supra/portapack_h4m_cpld_routed.v new file mode 100644 index 00000000..0e6ee1d6 --- /dev/null +++ b/hardware/portapack_h4m/CPLD/Supra/portapack_h4m_cpld_routed.v @@ -0,0 +1,2342 @@ +`timescale 1 ps/ 1 ps + +module top( + MCU_D, + MCU_DIR, + MCU_IO_STBX, + MCU_LCD_WRX, + MCU_ADDR, + MCU_LCD_TE, + MCU_P2_8, + MCU_LCD_RDX, + TP_U, + TP_D, + TP_L, + TP_R, + SW_SEL, + SW_ROT_A, + SW_ROT_B, + SW_U, + SW_D, + SW_L, + SW_R, + LCD_RESETX, + LCD_RS, + LCD_WRX, + LCD_RDX, + LCD_DB, + LCD_TE, + LCD_BACKLIGHT, + SYSOFF, + AUDIO_RESETX, + REF_EN, + GPS_RESETX, + GPS_TX_READY, + GPS_TIMEPULSE, + DEVICE_RESET, + DEVICE_RESET_V); +output [7:0] MCU_D; +input MCU_DIR; +input MCU_IO_STBX; +input MCU_LCD_WRX; +input MCU_ADDR; +output MCU_LCD_TE; +input MCU_P2_8; +input MCU_LCD_RDX; +output TP_U; +output TP_D; +output TP_L; +output TP_R; +input SW_SEL; +input SW_ROT_A; +input SW_ROT_B; +input SW_U; +input SW_D; +input SW_L; +input SW_R; +output LCD_RESETX; +output LCD_RS; +output LCD_WRX; +output LCD_RDX; +output [15:0] LCD_DB; +input LCD_TE; +output LCD_BACKLIGHT; +output SYSOFF; +output AUDIO_RESETX; +output REF_EN; +output GPS_RESETX; +input GPS_TX_READY; +input GPS_TIMEPULSE; +input DEVICE_RESET; +input DEVICE_RESET_V; + +//wire gnd; +//wire vcc; +wire AsyncReset_X1_Y15_GND; +wire AsyncReset_X1_Y19_GND; +wire AsyncReset_X1_Y20_GND; +wire AsyncReset_X1_Y21_GND; +wire AsyncReset_X1_Y24_GND; +wire AsyncReset_X1_Y26_GND; +wire \DEVICE_RESET_V~input_o ; +wire \DEVICE_RESET~input_o ; +wire \GPS_TIMEPULSE~input_o ; +wire \GPS_TX_READY~input_o ; +wire \LCD_DB[0]~input_o ; +wire \LCD_DB[10]~input_o ; +wire \LCD_DB[11]~input_o ; +wire \LCD_DB[12]~input_o ; +wire \LCD_DB[13]~input_o ; +wire \LCD_DB[14]~input_o ; +wire \LCD_DB[15]~input_o ; +wire \LCD_DB[1]~input_o ; +wire \LCD_DB[2]~input_o ; +wire \LCD_DB[3]~input_o ; +wire \LCD_DB[4]~input_o ; +wire \LCD_DB[5]~input_o ; +wire \LCD_DB[6]~input_o ; +wire \LCD_DB[7]~input_o ; +wire \LCD_DB[8]~input_o ; +wire \LCD_DB[9]~input_o ; +wire \LCD_TE~input_o ; +wire \MCU_ADDR~input_o ; +wire \MCU_DIR~input_o ; +wire \MCU_D[0]~input_o ; +wire \MCU_D[1]~input_o ; +wire \MCU_D[2]~input_o ; +wire \MCU_D[3]~input_o ; +wire \MCU_D[4]~input_o ; +wire \MCU_D[5]~input_o ; +wire \MCU_D[6]~input_o ; +wire \MCU_D[7]~input_o ; +wire \MCU_IO_STBX~input_o ; +wire \MCU_IO_STBX~inputclkctrl_outclk ; +wire \MCU_IO_STBX~inputclkctrl_outclk__lcd_reset_q~0_combout_X1_Y15_SIG_SIG ; +wire \MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ; +wire \MCU_LCD_RDX~input_o ; +wire \MCU_LCD_RDX~inputclkctrl_outclk ; +wire \MCU_LCD_RDX~inputclkctrl_outclk_X1_Y19_SIG_VCC ; +wire \MCU_LCD_RDX~inputclkctrl_outclk_X1_Y21_SIG_VCC ; +wire \MCU_LCD_RDX~inputclkctrl_outclk_X1_Y24_SIG_VCC ; +wire \MCU_LCD_RDX~inputclkctrl_outclk_X1_Y26_SIG_VCC ; +wire \MCU_LCD_WRX~input_o ; +wire \MCU_LCD_WRX~inputclkctrl_outclk ; +wire \MCU_LCD_WRX~inputclkctrl_outclk_X1_Y20_INV_VCC ; +wire \MCU_LCD_WRX~inputclkctrl_outclk_X1_Y26_INV_VCC ; +wire \MCU_P2_8~input_o ; +wire \SW_D~input_o ; +wire \SW_L~input_o ; +wire \SW_ROT_A~input_o ; +wire \SW_ROT_B~input_o ; +wire \SW_R~input_o ; +wire \SW_SEL~input_o ; +wire \SW_U~input_o ; +wire SyncLoad_X1_Y15_VCC; +wire SyncLoad_X1_Y19_VCC; +wire SyncLoad_X1_Y20_VCC; +wire SyncLoad_X1_Y21_VCC; +wire SyncLoad_X1_Y24_VCC; +wire SyncLoad_X1_Y26_VCC; +wire SyncReset_X1_Y15_GND; +wire SyncReset_X1_Y19_GND; +wire SyncReset_X1_Y20_GND; +wire SyncReset_X1_Y21_GND; +wire SyncReset_X1_Y24_GND; +wire SyncReset_X1_Y26_GND; +wire \audio_reset_q~0_combout ; +wire \audio_reset_q~q ; +tri1 devclrn; +tri1 devoe; +tri1 devpor; +wire \lcd_backlight_q~feeder_combout ; +wire \lcd_backlight_q~q ; +wire [7:0] lcd_data_in_q; +//wire lcd_data_in_q[0]; +//wire lcd_data_in_q[1]; +//wire lcd_data_in_q[2]; +//wire lcd_data_in_q[3]; +//wire lcd_data_in_q[4]; +//wire lcd_data_in_q[5]; +//wire lcd_data_in_q[6]; +//wire lcd_data_in_q[7]; +wire [7:0] lcd_data_out_q; +//wire lcd_data_out_q[0]; +wire \lcd_data_out_q[0]~feeder_combout ; +//wire lcd_data_out_q[1]; +wire \lcd_data_out_q[1]~feeder_combout ; +//wire lcd_data_out_q[2]; +wire \lcd_data_out_q[2]~feeder_combout ; +//wire lcd_data_out_q[3]; +//wire lcd_data_out_q[4]; +wire \lcd_data_out_q[4]~feeder_combout ; +//wire lcd_data_out_q[5]; +//wire lcd_data_out_q[6]; +//wire lcd_data_out_q[7]; +wire \lcd_data_out_q[7]~feeder_combout ; +wire \lcd_reset_q~0_combout ; +wire \lcd_reset_q~1_combout ; +wire \lcd_reset_q~q ; +wire \mcu_data_out[0]~0_combout ; +wire \mcu_data_out[0]~1_combout ; +wire \mcu_data_out[1]~2_combout ; +wire \mcu_data_out[1]~3_combout ; +wire \mcu_data_out[2]~4_combout ; +wire \mcu_data_out[2]~5_combout ; +wire \mcu_data_out[3]~6_combout ; +wire \mcu_data_out[3]~7_combout ; +wire \mcu_data_out[4]~8_combout ; +wire \mcu_data_out[4]~9_combout ; +wire \mcu_data_out[5]~10_combout ; +wire \mcu_data_out[5]~11_combout ; +wire \mcu_data_out[6]~12_combout ; +wire \mcu_data_out[6]~13_combout ; +wire \mcu_data_out[7]~14_combout ; +wire \mcu_data_out[7]~15_combout ; +wire \ref_en_q~feeder_combout ; +wire \ref_en_q~q ; +wire \sysoff_q~feeder_combout ; +wire \sysoff_q~q ; +wire [7:0] tp_q; +//wire tp_q[0]; +//wire tp_q[1]; +//wire tp_q[2]; +wire \tp_q[2]~feeder_combout ; +//wire tp_q[3]; +wire \tp_q[3]~0_combout ; +//wire tp_q[4]; +wire \tp_q[4]~feeder_combout ; +//wire tp_q[5]; +//wire tp_q[6]; +wire \tp_q[6]~feeder_combout ; +//wire tp_q[7]; +wire \tp_q[7]~feeder_combout ; +wire unknown; +wire \~ALTERA_ASDO_DATA1~~ibuf_o ; +wire \~ALTERA_ASDO_DATA1~~padout ; +wire \~ALTERA_DATA0~~ibuf_o ; +wire \~ALTERA_DATA0~~padout ; +wire \~ALTERA_FLASH_nCE_nCSO~~ibuf_o ; +wire \~ALTERA_FLASH_nCE_nCSO~~padout ; + +wire vcc; +wire gnd; +assign vcc = 1'b1; +assign gnd = 1'b0; + +alta_io \AUDIO_RESETX~output ( + .datain(\audio_reset_q~q ), + .oe(vcc), + .padio(AUDIO_RESETX), + .combout()); +defparam \AUDIO_RESETX~output .coord_x = 7; +defparam \AUDIO_RESETX~output .coord_y = 2; +defparam \AUDIO_RESETX~output .coord_z = 4; +defparam \AUDIO_RESETX~output .PRG_DELAYB = 1'b1; +defparam \AUDIO_RESETX~output .RX_SEL = 1'b0; +defparam \AUDIO_RESETX~output .PDCNTL = 2'b01; +defparam \AUDIO_RESETX~output .NDCNTL = 2'b01; +defparam \AUDIO_RESETX~output .PRG_SLR = 1'b1; +defparam \AUDIO_RESETX~output .CFG_KEEP = 2'b00; +defparam \AUDIO_RESETX~output .PU = 4'b0000; + +alta_io \DEVICE_RESET_V~input ( + .datain(gnd), + .oe(gnd), + .padio(DEVICE_RESET_V), + .combout(\DEVICE_RESET_V~input_o )); +defparam \DEVICE_RESET_V~input .coord_x = 4; +defparam \DEVICE_RESET_V~input .coord_y = 0; +defparam \DEVICE_RESET_V~input .coord_z = 5; +defparam \DEVICE_RESET_V~input .PRG_DELAYB = 1'b1; +defparam \DEVICE_RESET_V~input .RX_SEL = 1'b0; +defparam \DEVICE_RESET_V~input .PDCNTL = 2'b11; +defparam \DEVICE_RESET_V~input .NDCNTL = 2'b11; +defparam \DEVICE_RESET_V~input .PRG_SLR = 1'b0; +defparam \DEVICE_RESET_V~input .CFG_KEEP = 2'b00; +defparam \DEVICE_RESET_V~input .PU = 4'b0000; + +alta_io \DEVICE_RESET~input ( + .datain(gnd), + .oe(gnd), + .padio(DEVICE_RESET), + .combout(\DEVICE_RESET~input_o )); +defparam \DEVICE_RESET~input .coord_x = 6; +defparam \DEVICE_RESET~input .coord_y = 0; +defparam \DEVICE_RESET~input .coord_z = 0; +defparam \DEVICE_RESET~input .PRG_DELAYB = 1'b1; +defparam \DEVICE_RESET~input .RX_SEL = 1'b0; +defparam \DEVICE_RESET~input .PDCNTL = 2'b11; +defparam \DEVICE_RESET~input .NDCNTL = 2'b11; +defparam \DEVICE_RESET~input .PRG_SLR = 1'b0; +defparam \DEVICE_RESET~input .CFG_KEEP = 2'b00; +defparam \DEVICE_RESET~input .PU = 4'b0000; + +alta_io \GPS_RESETX~output ( + .datain(vcc), + .oe(vcc), + .padio(GPS_RESETX), + .combout()); +defparam \GPS_RESETX~output .coord_x = 7; +defparam \GPS_RESETX~output .coord_y = 3; +defparam \GPS_RESETX~output .coord_z = 0; +defparam \GPS_RESETX~output .PRG_DELAYB = 1'b1; +defparam \GPS_RESETX~output .RX_SEL = 1'b0; +defparam \GPS_RESETX~output .PDCNTL = 2'b01; +defparam \GPS_RESETX~output .NDCNTL = 2'b01; +defparam \GPS_RESETX~output .PRG_SLR = 1'b1; +defparam \GPS_RESETX~output .CFG_KEEP = 2'b00; +defparam \GPS_RESETX~output .PU = 4'b0000; + +alta_io \GPS_TIMEPULSE~input ( + .datain(gnd), + .oe(gnd), + .padio(GPS_TIMEPULSE), + .combout(\GPS_TIMEPULSE~input_o )); +defparam \GPS_TIMEPULSE~input .coord_x = 6; +defparam \GPS_TIMEPULSE~input .coord_y = 4; +defparam \GPS_TIMEPULSE~input .coord_z = 5; +defparam \GPS_TIMEPULSE~input .PRG_DELAYB = 1'b1; +defparam \GPS_TIMEPULSE~input .RX_SEL = 1'b0; +defparam \GPS_TIMEPULSE~input .PDCNTL = 2'b01; +defparam \GPS_TIMEPULSE~input .NDCNTL = 2'b01; +defparam \GPS_TIMEPULSE~input .PRG_SLR = 1'b1; +defparam \GPS_TIMEPULSE~input .CFG_KEEP = 2'b00; +defparam \GPS_TIMEPULSE~input .PU = 4'b1000; + +alta_io \GPS_TX_READY~input ( + .datain(gnd), + .oe(gnd), + .padio(GPS_TX_READY), + .combout(\GPS_TX_READY~input_o )); +defparam \GPS_TX_READY~input .coord_x = 6; +defparam \GPS_TX_READY~input .coord_y = 4; +defparam \GPS_TX_READY~input .coord_z = 4; +defparam \GPS_TX_READY~input .PRG_DELAYB = 1'b1; +defparam \GPS_TX_READY~input .RX_SEL = 1'b0; +defparam \GPS_TX_READY~input .PDCNTL = 2'b01; +defparam \GPS_TX_READY~input .NDCNTL = 2'b01; +defparam \GPS_TX_READY~input .PRG_SLR = 1'b1; +defparam \GPS_TX_READY~input .CFG_KEEP = 2'b00; +defparam \GPS_TX_READY~input .PU = 4'b1000; + +alta_io \LCD_BACKLIGHT~output ( + .datain(\lcd_backlight_q~q ), + .oe(vcc), + .padio(LCD_BACKLIGHT), + .combout()); +defparam \LCD_BACKLIGHT~output .coord_x = 5; +defparam \LCD_BACKLIGHT~output .coord_y = 4; +defparam \LCD_BACKLIGHT~output .coord_z = 4; +defparam \LCD_BACKLIGHT~output .PRG_DELAYB = 1'b1; +defparam \LCD_BACKLIGHT~output .RX_SEL = 1'b0; +defparam \LCD_BACKLIGHT~output .PDCNTL = 2'b01; +defparam \LCD_BACKLIGHT~output .NDCNTL = 2'b01; +defparam \LCD_BACKLIGHT~output .PRG_SLR = 1'b1; +defparam \LCD_BACKLIGHT~output .CFG_KEEP = 2'b00; +defparam \LCD_BACKLIGHT~output .PU = 4'b0000; + +alta_io \LCD_DB[0]~output ( + .datain(\MCU_D[0]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .padio(LCD_DB[0]), + .combout(\LCD_DB[0]~input_o )); +defparam \LCD_DB[0]~output .coord_x = 2; +defparam \LCD_DB[0]~output .coord_y = 4; +defparam \LCD_DB[0]~output .coord_z = 1; +defparam \LCD_DB[0]~output .PRG_DELAYB = 1'b1; +defparam \LCD_DB[0]~output .RX_SEL = 1'b0; +defparam \LCD_DB[0]~output .PDCNTL = 2'b01; +defparam \LCD_DB[0]~output .NDCNTL = 2'b01; +defparam \LCD_DB[0]~output .PRG_SLR = 1'b1; +defparam \LCD_DB[0]~output .CFG_KEEP = 2'b00; +defparam \LCD_DB[0]~output .PU = 4'b1000; + +alta_io \LCD_DB[10]~output ( + .datain(lcd_data_out_q[2]), + .oe(\MCU_LCD_RDX~input_o ), + .padio(LCD_DB[10]), + .combout(\LCD_DB[10]~input_o )); +defparam \LCD_DB[10]~output .coord_x = 4; +defparam \LCD_DB[10]~output .coord_y = 4; +defparam \LCD_DB[10]~output .coord_z = 0; +defparam \LCD_DB[10]~output .PRG_DELAYB = 1'b1; +defparam \LCD_DB[10]~output .RX_SEL = 1'b0; +defparam \LCD_DB[10]~output .PDCNTL = 2'b01; +defparam \LCD_DB[10]~output .NDCNTL = 2'b01; +defparam \LCD_DB[10]~output .PRG_SLR = 1'b1; +defparam \LCD_DB[10]~output .CFG_KEEP = 2'b00; +defparam \LCD_DB[10]~output .PU = 4'b1000; + +alta_io \LCD_DB[11]~output ( + .datain(lcd_data_out_q[3]), + .oe(\MCU_LCD_RDX~input_o ), + .padio(LCD_DB[11]), + .combout(\LCD_DB[11]~input_o )); +defparam \LCD_DB[11]~output .coord_x = 4; +defparam \LCD_DB[11]~output .coord_y = 4; +defparam \LCD_DB[11]~output .coord_z = 1; +defparam \LCD_DB[11]~output .PRG_DELAYB = 1'b1; +defparam \LCD_DB[11]~output .RX_SEL = 1'b0; +defparam \LCD_DB[11]~output .PDCNTL = 2'b01; +defparam \LCD_DB[11]~output .NDCNTL = 2'b01; +defparam \LCD_DB[11]~output .PRG_SLR = 1'b1; +defparam \LCD_DB[11]~output .CFG_KEEP = 2'b00; +defparam \LCD_DB[11]~output .PU = 4'b1000; + +alta_io \LCD_DB[12]~output ( + .datain(lcd_data_out_q[4]), + .oe(\MCU_LCD_RDX~input_o ), + .padio(LCD_DB[12]), + .combout(\LCD_DB[12]~input_o )); +defparam \LCD_DB[12]~output .coord_x = 4; +defparam \LCD_DB[12]~output .coord_y = 4; +defparam \LCD_DB[12]~output .coord_z = 2; +defparam \LCD_DB[12]~output .PRG_DELAYB = 1'b1; +defparam \LCD_DB[12]~output .RX_SEL = 1'b0; +defparam \LCD_DB[12]~output .PDCNTL = 2'b01; +defparam \LCD_DB[12]~output .NDCNTL = 2'b01; +defparam \LCD_DB[12]~output .PRG_SLR = 1'b1; +defparam \LCD_DB[12]~output .CFG_KEEP = 2'b00; +defparam \LCD_DB[12]~output .PU = 4'b1000; + +alta_io \LCD_DB[13]~output ( + .datain(lcd_data_out_q[5]), + .oe(\MCU_LCD_RDX~input_o ), + .padio(LCD_DB[13]), + .combout(\LCD_DB[13]~input_o )); +defparam \LCD_DB[13]~output .coord_x = 4; +defparam \LCD_DB[13]~output .coord_y = 4; +defparam \LCD_DB[13]~output .coord_z = 3; +defparam \LCD_DB[13]~output .PRG_DELAYB = 1'b1; +defparam \LCD_DB[13]~output .RX_SEL = 1'b0; +defparam \LCD_DB[13]~output .PDCNTL = 2'b01; +defparam \LCD_DB[13]~output .NDCNTL = 2'b01; +defparam \LCD_DB[13]~output .PRG_SLR = 1'b1; +defparam \LCD_DB[13]~output .CFG_KEEP = 2'b00; +defparam \LCD_DB[13]~output .PU = 4'b1000; + +alta_io \LCD_DB[14]~output ( + .datain(lcd_data_out_q[6]), + .oe(\MCU_LCD_RDX~input_o ), + .padio(LCD_DB[14]), + .combout(\LCD_DB[14]~input_o )); +defparam \LCD_DB[14]~output .coord_x = 4; +defparam \LCD_DB[14]~output .coord_y = 4; +defparam \LCD_DB[14]~output .coord_z = 4; +defparam \LCD_DB[14]~output .PRG_DELAYB = 1'b1; +defparam \LCD_DB[14]~output .RX_SEL = 1'b0; +defparam \LCD_DB[14]~output .PDCNTL = 2'b01; +defparam \LCD_DB[14]~output .NDCNTL = 2'b01; +defparam \LCD_DB[14]~output .PRG_SLR = 1'b1; +defparam \LCD_DB[14]~output .CFG_KEEP = 2'b00; +defparam \LCD_DB[14]~output .PU = 4'b1000; + +alta_io \LCD_DB[15]~output ( + .datain(lcd_data_out_q[7]), + .oe(\MCU_LCD_RDX~input_o ), + .padio(LCD_DB[15]), + .combout(\LCD_DB[15]~input_o )); +defparam \LCD_DB[15]~output .coord_x = 5; +defparam \LCD_DB[15]~output .coord_y = 4; +defparam \LCD_DB[15]~output .coord_z = 0; +defparam \LCD_DB[15]~output .PRG_DELAYB = 1'b1; +defparam \LCD_DB[15]~output .RX_SEL = 1'b0; +defparam \LCD_DB[15]~output .PDCNTL = 2'b01; +defparam \LCD_DB[15]~output .NDCNTL = 2'b01; +defparam \LCD_DB[15]~output .PRG_SLR = 1'b1; +defparam \LCD_DB[15]~output .CFG_KEEP = 2'b00; +defparam \LCD_DB[15]~output .PU = 4'b1000; + +alta_io \LCD_DB[1]~output ( + .datain(\MCU_D[1]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .padio(LCD_DB[1]), + .combout(\LCD_DB[1]~input_o )); +defparam \LCD_DB[1]~output .coord_x = 2; +defparam \LCD_DB[1]~output .coord_y = 4; +defparam \LCD_DB[1]~output .coord_z = 2; +defparam \LCD_DB[1]~output .PRG_DELAYB = 1'b1; +defparam \LCD_DB[1]~output .RX_SEL = 1'b0; +defparam \LCD_DB[1]~output .PDCNTL = 2'b01; +defparam \LCD_DB[1]~output .NDCNTL = 2'b01; +defparam \LCD_DB[1]~output .PRG_SLR = 1'b1; +defparam \LCD_DB[1]~output .CFG_KEEP = 2'b00; +defparam \LCD_DB[1]~output .PU = 4'b1000; + +alta_io \LCD_DB[2]~output ( + .datain(\MCU_D[2]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .padio(LCD_DB[2]), + .combout(\LCD_DB[2]~input_o )); +defparam \LCD_DB[2]~output .coord_x = 2; +defparam \LCD_DB[2]~output .coord_y = 4; +defparam \LCD_DB[2]~output .coord_z = 3; +defparam \LCD_DB[2]~output .PRG_DELAYB = 1'b1; +defparam \LCD_DB[2]~output .RX_SEL = 1'b0; +defparam \LCD_DB[2]~output .PDCNTL = 2'b01; +defparam \LCD_DB[2]~output .NDCNTL = 2'b01; +defparam \LCD_DB[2]~output .PRG_SLR = 1'b1; +defparam \LCD_DB[2]~output .CFG_KEEP = 2'b00; +defparam \LCD_DB[2]~output .PU = 4'b1000; + +alta_io \LCD_DB[3]~output ( + .datain(\MCU_D[3]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .padio(LCD_DB[3]), + .combout(\LCD_DB[3]~input_o )); +defparam \LCD_DB[3]~output .coord_x = 2; +defparam \LCD_DB[3]~output .coord_y = 4; +defparam \LCD_DB[3]~output .coord_z = 4; +defparam \LCD_DB[3]~output .PRG_DELAYB = 1'b1; +defparam \LCD_DB[3]~output .RX_SEL = 1'b0; +defparam \LCD_DB[3]~output .PDCNTL = 2'b01; +defparam \LCD_DB[3]~output .NDCNTL = 2'b01; +defparam \LCD_DB[3]~output .PRG_SLR = 1'b1; +defparam \LCD_DB[3]~output .CFG_KEEP = 2'b00; +defparam \LCD_DB[3]~output .PU = 4'b1000; + +alta_io \LCD_DB[4]~output ( + .datain(\MCU_D[4]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .padio(LCD_DB[4]), + .combout(\LCD_DB[4]~input_o )); +defparam \LCD_DB[4]~output .coord_x = 2; +defparam \LCD_DB[4]~output .coord_y = 4; +defparam \LCD_DB[4]~output .coord_z = 5; +defparam \LCD_DB[4]~output .PRG_DELAYB = 1'b1; +defparam \LCD_DB[4]~output .RX_SEL = 1'b0; +defparam \LCD_DB[4]~output .PDCNTL = 2'b01; +defparam \LCD_DB[4]~output .NDCNTL = 2'b01; +defparam \LCD_DB[4]~output .PRG_SLR = 1'b1; +defparam \LCD_DB[4]~output .CFG_KEEP = 2'b00; +defparam \LCD_DB[4]~output .PU = 4'b1000; + +alta_io \LCD_DB[5]~output ( + .datain(\MCU_D[5]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .padio(LCD_DB[5]), + .combout(\LCD_DB[5]~input_o )); +defparam \LCD_DB[5]~output .coord_x = 3; +defparam \LCD_DB[5]~output .coord_y = 4; +defparam \LCD_DB[5]~output .coord_z = 0; +defparam \LCD_DB[5]~output .PRG_DELAYB = 1'b1; +defparam \LCD_DB[5]~output .RX_SEL = 1'b0; +defparam \LCD_DB[5]~output .PDCNTL = 2'b01; +defparam \LCD_DB[5]~output .NDCNTL = 2'b01; +defparam \LCD_DB[5]~output .PRG_SLR = 1'b1; +defparam \LCD_DB[5]~output .CFG_KEEP = 2'b00; +defparam \LCD_DB[5]~output .PU = 4'b1000; + +alta_io \LCD_DB[6]~output ( + .datain(\MCU_D[6]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .padio(LCD_DB[6]), + .combout(\LCD_DB[6]~input_o )); +defparam \LCD_DB[6]~output .coord_x = 3; +defparam \LCD_DB[6]~output .coord_y = 4; +defparam \LCD_DB[6]~output .coord_z = 1; +defparam \LCD_DB[6]~output .PRG_DELAYB = 1'b1; +defparam \LCD_DB[6]~output .RX_SEL = 1'b0; +defparam \LCD_DB[6]~output .PDCNTL = 2'b01; +defparam \LCD_DB[6]~output .NDCNTL = 2'b01; +defparam \LCD_DB[6]~output .PRG_SLR = 1'b1; +defparam \LCD_DB[6]~output .CFG_KEEP = 2'b00; +defparam \LCD_DB[6]~output .PU = 4'b1000; + +alta_io \LCD_DB[7]~output ( + .datain(\MCU_D[7]~input_o ), + .oe(\MCU_LCD_RDX~input_o ), + .padio(LCD_DB[7]), + .combout(\LCD_DB[7]~input_o )); +defparam \LCD_DB[7]~output .coord_x = 3; +defparam \LCD_DB[7]~output .coord_y = 4; +defparam \LCD_DB[7]~output .coord_z = 2; +defparam \LCD_DB[7]~output .PRG_DELAYB = 1'b1; +defparam \LCD_DB[7]~output .RX_SEL = 1'b0; +defparam \LCD_DB[7]~output .PDCNTL = 2'b01; +defparam \LCD_DB[7]~output .NDCNTL = 2'b01; +defparam \LCD_DB[7]~output .PRG_SLR = 1'b1; +defparam \LCD_DB[7]~output .CFG_KEEP = 2'b00; +defparam \LCD_DB[7]~output .PU = 4'b1000; + +alta_io \LCD_DB[8]~output ( + .datain(lcd_data_out_q[0]), + .oe(\MCU_LCD_RDX~input_o ), + .padio(LCD_DB[8]), + .combout(\LCD_DB[8]~input_o )); +defparam \LCD_DB[8]~output .coord_x = 3; +defparam \LCD_DB[8]~output .coord_y = 4; +defparam \LCD_DB[8]~output .coord_z = 3; +defparam \LCD_DB[8]~output .PRG_DELAYB = 1'b1; +defparam \LCD_DB[8]~output .RX_SEL = 1'b0; +defparam \LCD_DB[8]~output .PDCNTL = 2'b01; +defparam \LCD_DB[8]~output .NDCNTL = 2'b01; +defparam \LCD_DB[8]~output .PRG_SLR = 1'b1; +defparam \LCD_DB[8]~output .CFG_KEEP = 2'b00; +defparam \LCD_DB[8]~output .PU = 4'b1000; + +alta_io \LCD_DB[9]~output ( + .datain(lcd_data_out_q[1]), + .oe(\MCU_LCD_RDX~input_o ), + .padio(LCD_DB[9]), + .combout(\LCD_DB[9]~input_o )); +defparam \LCD_DB[9]~output .coord_x = 3; +defparam \LCD_DB[9]~output .coord_y = 4; +defparam \LCD_DB[9]~output .coord_z = 4; +defparam \LCD_DB[9]~output .PRG_DELAYB = 1'b1; +defparam \LCD_DB[9]~output .RX_SEL = 1'b0; +defparam \LCD_DB[9]~output .PDCNTL = 2'b01; +defparam \LCD_DB[9]~output .NDCNTL = 2'b01; +defparam \LCD_DB[9]~output .PRG_SLR = 1'b1; +defparam \LCD_DB[9]~output .CFG_KEEP = 2'b00; +defparam \LCD_DB[9]~output .PU = 4'b1000; + +alta_io \LCD_RDX~output ( + .datain(\MCU_LCD_RDX~input_o ), + .oe(vcc), + .padio(LCD_RDX), + .combout()); +defparam \LCD_RDX~output .coord_x = 1; +defparam \LCD_RDX~output .coord_y = 4; +defparam \LCD_RDX~output .coord_z = 1; +defparam \LCD_RDX~output .PRG_DELAYB = 1'b1; +defparam \LCD_RDX~output .RX_SEL = 1'b0; +defparam \LCD_RDX~output .PDCNTL = 2'b01; +defparam \LCD_RDX~output .NDCNTL = 2'b01; +defparam \LCD_RDX~output .PRG_SLR = 1'b1; +defparam \LCD_RDX~output .CFG_KEEP = 2'b00; +defparam \LCD_RDX~output .PU = 4'b0000; + +alta_io \LCD_RESETX~output ( + .datain(\lcd_reset_q~q ), + .oe(vcc), + .padio(LCD_RESETX), + .combout()); +defparam \LCD_RESETX~output .coord_x = 2; +defparam \LCD_RESETX~output .coord_y = 4; +defparam \LCD_RESETX~output .coord_z = 0; +defparam \LCD_RESETX~output .PRG_DELAYB = 1'b1; +defparam \LCD_RESETX~output .RX_SEL = 1'b0; +defparam \LCD_RESETX~output .PDCNTL = 2'b01; +defparam \LCD_RESETX~output .NDCNTL = 2'b01; +defparam \LCD_RESETX~output .PRG_SLR = 1'b1; +defparam \LCD_RESETX~output .CFG_KEEP = 2'b00; +defparam \LCD_RESETX~output .PU = 4'b0000; + +alta_io \LCD_RS~output ( + .datain(\MCU_ADDR~input_o ), + .oe(vcc), + .padio(LCD_RS), + .combout()); +defparam \LCD_RS~output .coord_x = 0; +defparam \LCD_RS~output .coord_y = 3; +defparam \LCD_RS~output .coord_z = 0; +defparam \LCD_RS~output .PRG_DELAYB = 1'b1; +defparam \LCD_RS~output .RX_SEL = 1'b0; +defparam \LCD_RS~output .PDCNTL = 2'b01; +defparam \LCD_RS~output .NDCNTL = 2'b01; +defparam \LCD_RS~output .PRG_SLR = 1'b1; +defparam \LCD_RS~output .CFG_KEEP = 2'b00; +defparam \LCD_RS~output .PU = 4'b0000; + +alta_io \LCD_TE~input ( + .datain(gnd), + .oe(gnd), + .padio(LCD_TE), + .combout(\LCD_TE~input_o )); +defparam \LCD_TE~input .coord_x = 0; +defparam \LCD_TE~input .coord_y = 3; +defparam \LCD_TE~input .coord_z = 1; +defparam \LCD_TE~input .PRG_DELAYB = 1'b1; +defparam \LCD_TE~input .RX_SEL = 1'b0; +defparam \LCD_TE~input .PDCNTL = 2'b01; +defparam \LCD_TE~input .NDCNTL = 2'b01; +defparam \LCD_TE~input .PRG_SLR = 1'b1; +defparam \LCD_TE~input .CFG_KEEP = 2'b00; +defparam \LCD_TE~input .PU = 4'b0000; + +alta_io \LCD_WRX~output ( + .datain(\MCU_LCD_WRX~input_o ), + .oe(vcc), + .padio(LCD_WRX), + .combout()); +defparam \LCD_WRX~output .coord_x = 1; +defparam \LCD_WRX~output .coord_y = 4; +defparam \LCD_WRX~output .coord_z = 0; +defparam \LCD_WRX~output .PRG_DELAYB = 1'b1; +defparam \LCD_WRX~output .RX_SEL = 1'b0; +defparam \LCD_WRX~output .PDCNTL = 2'b01; +defparam \LCD_WRX~output .NDCNTL = 2'b01; +defparam \LCD_WRX~output .PRG_SLR = 1'b1; +defparam \LCD_WRX~output .CFG_KEEP = 2'b00; +defparam \LCD_WRX~output .PU = 4'b0000; + +alta_io \MCU_ADDR~input ( + .datain(gnd), + .oe(gnd), + .padio(MCU_ADDR), + .combout(\MCU_ADDR~input_o )); +defparam \MCU_ADDR~input .coord_x = 5; +defparam \MCU_ADDR~input .coord_y = 0; +defparam \MCU_ADDR~input .coord_z = 3; +defparam \MCU_ADDR~input .PRG_DELAYB = 1'b1; +defparam \MCU_ADDR~input .RX_SEL = 1'b0; +defparam \MCU_ADDR~input .PDCNTL = 2'b01; +defparam \MCU_ADDR~input .NDCNTL = 2'b01; +defparam \MCU_ADDR~input .PRG_SLR = 1'b1; +defparam \MCU_ADDR~input .CFG_KEEP = 2'b00; +defparam \MCU_ADDR~input .PU = 4'b1000; + +alta_io \MCU_DIR~input ( + .datain(gnd), + .oe(gnd), + .padio(MCU_DIR), + .combout(\MCU_DIR~input_o )); +defparam \MCU_DIR~input .coord_x = 7; +defparam \MCU_DIR~input .coord_y = 3; +defparam \MCU_DIR~input .coord_z = 1; +defparam \MCU_DIR~input .PRG_DELAYB = 1'b1; +defparam \MCU_DIR~input .RX_SEL = 1'b0; +defparam \MCU_DIR~input .PDCNTL = 2'b01; +defparam \MCU_DIR~input .NDCNTL = 2'b01; +defparam \MCU_DIR~input .PRG_SLR = 1'b1; +defparam \MCU_DIR~input .CFG_KEEP = 2'b00; +defparam \MCU_DIR~input .PU = 4'b1000; + +alta_io \MCU_D[0]~output ( + .datain(\mcu_data_out[0]~1_combout ), + .oe(\MCU_DIR~input_o ), + .padio(MCU_D[0]), + .combout(\MCU_D[0]~input_o )); +defparam \MCU_D[0]~output .coord_x = 4; +defparam \MCU_D[0]~output .coord_y = 0; +defparam \MCU_D[0]~output .coord_z = 2; +defparam \MCU_D[0]~output .PRG_DELAYB = 1'b1; +defparam \MCU_D[0]~output .RX_SEL = 1'b0; +defparam \MCU_D[0]~output .PDCNTL = 2'b01; +defparam \MCU_D[0]~output .NDCNTL = 2'b01; +defparam \MCU_D[0]~output .PRG_SLR = 1'b1; +defparam \MCU_D[0]~output .CFG_KEEP = 2'b00; +defparam \MCU_D[0]~output .PU = 4'b1000; + +alta_io \MCU_D[1]~output ( + .datain(\mcu_data_out[1]~3_combout ), + .oe(\MCU_DIR~input_o ), + .padio(MCU_D[1]), + .combout(\MCU_D[1]~input_o )); +defparam \MCU_D[1]~output .coord_x = 4; +defparam \MCU_D[1]~output .coord_y = 0; +defparam \MCU_D[1]~output .coord_z = 3; +defparam \MCU_D[1]~output .PRG_DELAYB = 1'b1; +defparam \MCU_D[1]~output .RX_SEL = 1'b0; +defparam \MCU_D[1]~output .PDCNTL = 2'b01; +defparam \MCU_D[1]~output .NDCNTL = 2'b01; +defparam \MCU_D[1]~output .PRG_SLR = 1'b1; +defparam \MCU_D[1]~output .CFG_KEEP = 2'b00; +defparam \MCU_D[1]~output .PU = 4'b1000; + +alta_io \MCU_D[2]~output ( + .datain(\mcu_data_out[2]~5_combout ), + .oe(\MCU_DIR~input_o ), + .padio(MCU_D[2]), + .combout(\MCU_D[2]~input_o )); +defparam \MCU_D[2]~output .coord_x = 4; +defparam \MCU_D[2]~output .coord_y = 0; +defparam \MCU_D[2]~output .coord_z = 0; +defparam \MCU_D[2]~output .PRG_DELAYB = 1'b1; +defparam \MCU_D[2]~output .RX_SEL = 1'b0; +defparam \MCU_D[2]~output .PDCNTL = 2'b01; +defparam \MCU_D[2]~output .NDCNTL = 2'b01; +defparam \MCU_D[2]~output .PRG_SLR = 1'b1; +defparam \MCU_D[2]~output .CFG_KEEP = 2'b00; +defparam \MCU_D[2]~output .PU = 4'b1000; + +alta_io \MCU_D[3]~output ( + .datain(\mcu_data_out[3]~7_combout ), + .oe(\MCU_DIR~input_o ), + .padio(MCU_D[3]), + .combout(\MCU_D[3]~input_o )); +defparam \MCU_D[3]~output .coord_x = 3; +defparam \MCU_D[3]~output .coord_y = 0; +defparam \MCU_D[3]~output .coord_z = 4; +defparam \MCU_D[3]~output .PRG_DELAYB = 1'b1; +defparam \MCU_D[3]~output .RX_SEL = 1'b0; +defparam \MCU_D[3]~output .PDCNTL = 2'b01; +defparam \MCU_D[3]~output .NDCNTL = 2'b01; +defparam \MCU_D[3]~output .PRG_SLR = 1'b1; +defparam \MCU_D[3]~output .CFG_KEEP = 2'b00; +defparam \MCU_D[3]~output .PU = 4'b1000; + +alta_io \MCU_D[4]~output ( + .datain(\mcu_data_out[4]~9_combout ), + .oe(\MCU_DIR~input_o ), + .padio(MCU_D[4]), + .combout(\MCU_D[4]~input_o )); +defparam \MCU_D[4]~output .coord_x = 3; +defparam \MCU_D[4]~output .coord_y = 0; +defparam \MCU_D[4]~output .coord_z = 2; +defparam \MCU_D[4]~output .PRG_DELAYB = 1'b1; +defparam \MCU_D[4]~output .RX_SEL = 1'b0; +defparam \MCU_D[4]~output .PDCNTL = 2'b01; +defparam \MCU_D[4]~output .NDCNTL = 2'b01; +defparam \MCU_D[4]~output .PRG_SLR = 1'b1; +defparam \MCU_D[4]~output .CFG_KEEP = 2'b00; +defparam \MCU_D[4]~output .PU = 4'b1000; + +alta_io \MCU_D[5]~output ( + .datain(\mcu_data_out[5]~11_combout ), + .oe(\MCU_DIR~input_o ), + .padio(MCU_D[5]), + .combout(\MCU_D[5]~input_o )); +defparam \MCU_D[5]~output .coord_x = 3; +defparam \MCU_D[5]~output .coord_y = 0; +defparam \MCU_D[5]~output .coord_z = 3; +defparam \MCU_D[5]~output .PRG_DELAYB = 1'b1; +defparam \MCU_D[5]~output .RX_SEL = 1'b0; +defparam \MCU_D[5]~output .PDCNTL = 2'b01; +defparam \MCU_D[5]~output .NDCNTL = 2'b01; +defparam \MCU_D[5]~output .PRG_SLR = 1'b1; +defparam \MCU_D[5]~output .CFG_KEEP = 2'b00; +defparam \MCU_D[5]~output .PU = 4'b1000; + +alta_io \MCU_D[6]~output ( + .datain(\mcu_data_out[6]~13_combout ), + .oe(\MCU_DIR~input_o ), + .padio(MCU_D[6]), + .combout(\MCU_D[6]~input_o )); +defparam \MCU_D[6]~output .coord_x = 3; +defparam \MCU_D[6]~output .coord_y = 0; +defparam \MCU_D[6]~output .coord_z = 1; +defparam \MCU_D[6]~output .PRG_DELAYB = 1'b1; +defparam \MCU_D[6]~output .RX_SEL = 1'b0; +defparam \MCU_D[6]~output .PDCNTL = 2'b01; +defparam \MCU_D[6]~output .NDCNTL = 2'b01; +defparam \MCU_D[6]~output .PRG_SLR = 1'b1; +defparam \MCU_D[6]~output .CFG_KEEP = 2'b00; +defparam \MCU_D[6]~output .PU = 4'b1000; + +alta_io \MCU_D[7]~output ( + .datain(\mcu_data_out[7]~15_combout ), + .oe(\MCU_DIR~input_o ), + .padio(MCU_D[7]), + .combout(\MCU_D[7]~input_o )); +defparam \MCU_D[7]~output .coord_x = 3; +defparam \MCU_D[7]~output .coord_y = 0; +defparam \MCU_D[7]~output .coord_z = 0; +defparam \MCU_D[7]~output .PRG_DELAYB = 1'b1; +defparam \MCU_D[7]~output .RX_SEL = 1'b0; +defparam \MCU_D[7]~output .PDCNTL = 2'b01; +defparam \MCU_D[7]~output .NDCNTL = 2'b01; +defparam \MCU_D[7]~output .PRG_SLR = 1'b1; +defparam \MCU_D[7]~output .CFG_KEEP = 2'b00; +defparam \MCU_D[7]~output .PU = 4'b1000; + +alta_io \MCU_IO_STBX~input ( + .datain(gnd), + .oe(gnd), + .padio(MCU_IO_STBX), + .combout(\MCU_IO_STBX~input_o )); +defparam \MCU_IO_STBX~input .coord_x = 5; +defparam \MCU_IO_STBX~input .coord_y = 0; +defparam \MCU_IO_STBX~input .coord_z = 2; +defparam \MCU_IO_STBX~input .PRG_DELAYB = 1'b1; +defparam \MCU_IO_STBX~input .RX_SEL = 1'b0; +defparam \MCU_IO_STBX~input .PDCNTL = 2'b01; +defparam \MCU_IO_STBX~input .NDCNTL = 2'b01; +defparam \MCU_IO_STBX~input .PRG_SLR = 1'b1; +defparam \MCU_IO_STBX~input .CFG_KEEP = 2'b00; +defparam \MCU_IO_STBX~input .PU = 4'b1000; + +alta_io_gclk \MCU_IO_STBX~inputclkctrl ( + .inclk(\MCU_IO_STBX~input_o ), + .outclk(\MCU_IO_STBX~inputclkctrl_outclk )); +defparam \MCU_IO_STBX~inputclkctrl .coord_x = 0; +defparam \MCU_IO_STBX~inputclkctrl .coord_y = 2; +defparam \MCU_IO_STBX~inputclkctrl .coord_z = 1; + +alta_io \MCU_LCD_RDX~input ( + .datain(gnd), + .oe(gnd), + .padio(MCU_LCD_RDX), + .combout(\MCU_LCD_RDX~input_o )); +defparam \MCU_LCD_RDX~input .coord_x = 5; +defparam \MCU_LCD_RDX~input .coord_y = 0; +defparam \MCU_LCD_RDX~input .coord_z = 0; +defparam \MCU_LCD_RDX~input .PRG_DELAYB = 1'b1; +defparam \MCU_LCD_RDX~input .RX_SEL = 1'b0; +defparam \MCU_LCD_RDX~input .PDCNTL = 2'b01; +defparam \MCU_LCD_RDX~input .NDCNTL = 2'b01; +defparam \MCU_LCD_RDX~input .PRG_SLR = 1'b1; +defparam \MCU_LCD_RDX~input .CFG_KEEP = 2'b00; +defparam \MCU_LCD_RDX~input .PU = 4'b1000; + +alta_io_gclk \MCU_LCD_RDX~inputclkctrl ( + .inclk(\MCU_LCD_RDX~input_o ), + .outclk(\MCU_LCD_RDX~inputclkctrl_outclk )); +defparam \MCU_LCD_RDX~inputclkctrl .coord_x = 7; +defparam \MCU_LCD_RDX~inputclkctrl .coord_y = 2; +defparam \MCU_LCD_RDX~inputclkctrl .coord_z = 0; + +alta_io \MCU_LCD_TE~output ( + .datain(\LCD_TE~input_o ), + .oe(vcc), + .padio(MCU_LCD_TE), + .combout()); +defparam \MCU_LCD_TE~output .coord_x = 5; +defparam \MCU_LCD_TE~output .coord_y = 0; +defparam \MCU_LCD_TE~output .coord_z = 1; +defparam \MCU_LCD_TE~output .PRG_DELAYB = 1'b1; +defparam \MCU_LCD_TE~output .RX_SEL = 1'b0; +defparam \MCU_LCD_TE~output .PDCNTL = 2'b01; +defparam \MCU_LCD_TE~output .NDCNTL = 2'b01; +defparam \MCU_LCD_TE~output .PRG_SLR = 1'b1; +defparam \MCU_LCD_TE~output .CFG_KEEP = 2'b00; +defparam \MCU_LCD_TE~output .PU = 4'b0000; + +alta_io \MCU_LCD_WRX~input ( + .datain(gnd), + .oe(gnd), + .padio(MCU_LCD_WRX), + .combout(\MCU_LCD_WRX~input_o )); +defparam \MCU_LCD_WRX~input .coord_x = 7; +defparam \MCU_LCD_WRX~input .coord_y = 3; +defparam \MCU_LCD_WRX~input .coord_z = 2; +defparam \MCU_LCD_WRX~input .PRG_DELAYB = 1'b1; +defparam \MCU_LCD_WRX~input .RX_SEL = 1'b0; +defparam \MCU_LCD_WRX~input .PDCNTL = 2'b01; +defparam \MCU_LCD_WRX~input .NDCNTL = 2'b01; +defparam \MCU_LCD_WRX~input .PRG_SLR = 1'b1; +defparam \MCU_LCD_WRX~input .CFG_KEEP = 2'b00; +defparam \MCU_LCD_WRX~input .PU = 4'b0000; + +alta_io_gclk \MCU_LCD_WRX~inputclkctrl ( + .inclk(\MCU_LCD_WRX~input_o ), + .outclk(\MCU_LCD_WRX~inputclkctrl_outclk )); +defparam \MCU_LCD_WRX~inputclkctrl .coord_x = 7; +defparam \MCU_LCD_WRX~inputclkctrl .coord_y = 2; +defparam \MCU_LCD_WRX~inputclkctrl .coord_z = 1; + +alta_io \MCU_P2_8~input ( + .datain(gnd), + .oe(gnd), + .padio(MCU_P2_8), + .combout(\MCU_P2_8~input_o )); +defparam \MCU_P2_8~input .coord_x = 5; +defparam \MCU_P2_8~input .coord_y = 0; +defparam \MCU_P2_8~input .coord_z = 4; +defparam \MCU_P2_8~input .PRG_DELAYB = 1'b1; +defparam \MCU_P2_8~input .RX_SEL = 1'b0; +defparam \MCU_P2_8~input .PDCNTL = 2'b01; +defparam \MCU_P2_8~input .NDCNTL = 2'b01; +defparam \MCU_P2_8~input .PRG_SLR = 1'b1; +defparam \MCU_P2_8~input .CFG_KEEP = 2'b00; +defparam \MCU_P2_8~input .PU = 4'b0000; + +alta_io \REF_EN~output ( + .datain(\ref_en_q~q ), + .oe(vcc), + .padio(REF_EN), + .combout()); +defparam \REF_EN~output .coord_x = 7; +defparam \REF_EN~output .coord_y = 2; +defparam \REF_EN~output .coord_z = 3; +defparam \REF_EN~output .PRG_DELAYB = 1'b1; +defparam \REF_EN~output .RX_SEL = 1'b0; +defparam \REF_EN~output .PDCNTL = 2'b01; +defparam \REF_EN~output .NDCNTL = 2'b01; +defparam \REF_EN~output .PRG_SLR = 1'b1; +defparam \REF_EN~output .CFG_KEEP = 2'b00; +defparam \REF_EN~output .PU = 4'b0000; + +alta_io \SW_D~input ( + .datain(gnd), + .oe(gnd), + .padio(SW_D), + .combout(\SW_D~input_o )); +defparam \SW_D~input .coord_x = 0; +defparam \SW_D~input .coord_y = 2; +defparam \SW_D~input .coord_z = 1; +defparam \SW_D~input .PRG_DELAYB = 1'b1; +defparam \SW_D~input .RX_SEL = 1'b1; +defparam \SW_D~input .PDCNTL = 2'b01; +defparam \SW_D~input .NDCNTL = 2'b01; +defparam \SW_D~input .PRG_SLR = 1'b1; +defparam \SW_D~input .CFG_KEEP = 2'b00; +defparam \SW_D~input .PU = 4'b1000; + +alta_io \SW_L~input ( + .datain(gnd), + .oe(gnd), + .padio(SW_L), + .combout(\SW_L~input_o )); +defparam \SW_L~input .coord_x = 4; +defparam \SW_L~input .coord_y = 0; +defparam \SW_L~input .coord_z = 4; +defparam \SW_L~input .PRG_DELAYB = 1'b1; +defparam \SW_L~input .RX_SEL = 1'b1; +defparam \SW_L~input .PDCNTL = 2'b01; +defparam \SW_L~input .NDCNTL = 2'b01; +defparam \SW_L~input .PRG_SLR = 1'b1; +defparam \SW_L~input .CFG_KEEP = 2'b00; +defparam \SW_L~input .PU = 4'b1000; + +alta_io \SW_ROT_A~input ( + .datain(gnd), + .oe(gnd), + .padio(SW_ROT_A), + .combout(\SW_ROT_A~input_o )); +defparam \SW_ROT_A~input .coord_x = 0; +defparam \SW_ROT_A~input .coord_y = 2; +defparam \SW_ROT_A~input .coord_z = 2; +defparam \SW_ROT_A~input .PRG_DELAYB = 1'b1; +defparam \SW_ROT_A~input .RX_SEL = 1'b1; +defparam \SW_ROT_A~input .PDCNTL = 2'b01; +defparam \SW_ROT_A~input .NDCNTL = 2'b01; +defparam \SW_ROT_A~input .PRG_SLR = 1'b1; +defparam \SW_ROT_A~input .CFG_KEEP = 2'b00; +defparam \SW_ROT_A~input .PU = 4'b1000; + +alta_io \SW_ROT_B~input ( + .datain(gnd), + .oe(gnd), + .padio(SW_ROT_B), + .combout(\SW_ROT_B~input_o )); +defparam \SW_ROT_B~input .coord_x = 0; +defparam \SW_ROT_B~input .coord_y = 2; +defparam \SW_ROT_B~input .coord_z = 3; +defparam \SW_ROT_B~input .PRG_DELAYB = 1'b1; +defparam \SW_ROT_B~input .RX_SEL = 1'b1; +defparam \SW_ROT_B~input .PDCNTL = 2'b01; +defparam \SW_ROT_B~input .NDCNTL = 2'b01; +defparam \SW_ROT_B~input .PRG_SLR = 1'b1; +defparam \SW_ROT_B~input .CFG_KEEP = 2'b00; +defparam \SW_ROT_B~input .PU = 4'b1000; + +alta_io \SW_R~input ( + .datain(gnd), + .oe(gnd), + .padio(SW_R), + .combout(\SW_R~input_o )); +defparam \SW_R~input .coord_x = 0; +defparam \SW_R~input .coord_y = 2; +defparam \SW_R~input .coord_z = 0; +defparam \SW_R~input .PRG_DELAYB = 1'b1; +defparam \SW_R~input .RX_SEL = 1'b1; +defparam \SW_R~input .PDCNTL = 2'b01; +defparam \SW_R~input .NDCNTL = 2'b01; +defparam \SW_R~input .PRG_SLR = 1'b1; +defparam \SW_R~input .CFG_KEEP = 2'b00; +defparam \SW_R~input .PU = 4'b1000; + +alta_io \SW_SEL~input ( + .datain(gnd), + .oe(gnd), + .padio(SW_SEL), + .combout(\SW_SEL~input_o )); +defparam \SW_SEL~input .coord_x = 0; +defparam \SW_SEL~input .coord_y = 2; +defparam \SW_SEL~input .coord_z = 4; +defparam \SW_SEL~input .PRG_DELAYB = 1'b1; +defparam \SW_SEL~input .RX_SEL = 1'b1; +defparam \SW_SEL~input .PDCNTL = 2'b01; +defparam \SW_SEL~input .NDCNTL = 2'b01; +defparam \SW_SEL~input .PRG_SLR = 1'b1; +defparam \SW_SEL~input .CFG_KEEP = 2'b00; +defparam \SW_SEL~input .PU = 4'b1000; + +alta_io \SW_U~input ( + .datain(gnd), + .oe(gnd), + .padio(SW_U), + .combout(\SW_U~input_o )); +defparam \SW_U~input .coord_x = 4; +defparam \SW_U~input .coord_y = 0; +defparam \SW_U~input .coord_z = 1; +defparam \SW_U~input .PRG_DELAYB = 1'b1; +defparam \SW_U~input .RX_SEL = 1'b1; +defparam \SW_U~input .PDCNTL = 2'b01; +defparam \SW_U~input .NDCNTL = 2'b01; +defparam \SW_U~input .PRG_SLR = 1'b1; +defparam \SW_U~input .CFG_KEEP = 2'b00; +defparam \SW_U~input .PU = 4'b1000; + +alta_io \SYSOFF~output ( + .datain(\sysoff_q~q ), + .oe(vcc), + .padio(SYSOFF), + .combout()); +defparam \SYSOFF~output .coord_x = 6; +defparam \SYSOFF~output .coord_y = 0; +defparam \SYSOFF~output .coord_z = 1; +defparam \SYSOFF~output .PRG_DELAYB = 1'b1; +defparam \SYSOFF~output .RX_SEL = 1'b0; +defparam \SYSOFF~output .PDCNTL = 2'b11; +defparam \SYSOFF~output .NDCNTL = 2'b11; +defparam \SYSOFF~output .PRG_SLR = 1'b0; +defparam \SYSOFF~output .CFG_KEEP = 2'b00; +defparam \SYSOFF~output .PU = 4'b0000; + +alta_io \TP_D~output ( + .datain(tp_q[2]), + .oe(tp_q[6]), + .padio(TP_D), + .combout()); +defparam \TP_D~output .coord_x = 0; +defparam \TP_D~output .coord_y = 3; +defparam \TP_D~output .coord_z = 4; +defparam \TP_D~output .PRG_DELAYB = 1'b1; +defparam \TP_D~output .RX_SEL = 1'b0; +defparam \TP_D~output .PDCNTL = 2'b11; +defparam \TP_D~output .NDCNTL = 2'b11; +defparam \TP_D~output .PRG_SLR = 1'b1; +defparam \TP_D~output .CFG_KEEP = 2'b00; +defparam \TP_D~output .PU = 4'b1000; + +alta_io \TP_L~output ( + .datain(tp_q[1]), + .oe(tp_q[5]), + .padio(TP_L), + .combout()); +defparam \TP_L~output .coord_x = 0; +defparam \TP_L~output .coord_y = 3; +defparam \TP_L~output .coord_z = 5; +defparam \TP_L~output .PRG_DELAYB = 1'b1; +defparam \TP_L~output .RX_SEL = 1'b0; +defparam \TP_L~output .PDCNTL = 2'b11; +defparam \TP_L~output .NDCNTL = 2'b11; +defparam \TP_L~output .PRG_SLR = 1'b1; +defparam \TP_L~output .CFG_KEEP = 2'b00; +defparam \TP_L~output .PU = 4'b1000; + +alta_io \TP_R~output ( + .datain(tp_q[0]), + .oe(tp_q[4]), + .padio(TP_R), + .combout()); +defparam \TP_R~output .coord_x = 0; +defparam \TP_R~output .coord_y = 3; +defparam \TP_R~output .coord_z = 2; +defparam \TP_R~output .PRG_DELAYB = 1'b1; +defparam \TP_R~output .RX_SEL = 1'b0; +defparam \TP_R~output .PDCNTL = 2'b11; +defparam \TP_R~output .NDCNTL = 2'b11; +defparam \TP_R~output .PRG_SLR = 1'b1; +defparam \TP_R~output .CFG_KEEP = 2'b00; +defparam \TP_R~output .PU = 4'b1000; + +alta_io \TP_U~output ( + .datain(tp_q[3]), + .oe(tp_q[7]), + .padio(TP_U), + .combout()); +defparam \TP_U~output .coord_x = 0; +defparam \TP_U~output .coord_y = 3; +defparam \TP_U~output .coord_z = 6; +defparam \TP_U~output .PRG_DELAYB = 1'b1; +defparam \TP_U~output .RX_SEL = 1'b0; +defparam \TP_U~output .PDCNTL = 2'b11; +defparam \TP_U~output .NDCNTL = 2'b11; +defparam \TP_U~output .PRG_SLR = 1'b1; +defparam \TP_U~output .CFG_KEEP = 2'b00; +defparam \TP_U~output .PU = 4'b1000; + +alta_asyncctrl asyncreset_ctrl_X1_Y15_N0( + .Din(), + .Dout(AsyncReset_X1_Y15_GND)); +defparam asyncreset_ctrl_X1_Y15_N0.coord_x = 3; +defparam asyncreset_ctrl_X1_Y15_N0.coord_y = 3; +defparam asyncreset_ctrl_X1_Y15_N0.coord_z = 0; +defparam asyncreset_ctrl_X1_Y15_N0.AsyncCtrlMux = 2'b00; + +alta_asyncctrl asyncreset_ctrl_X1_Y19_N0( + .Din(), + .Dout(AsyncReset_X1_Y19_GND)); +defparam asyncreset_ctrl_X1_Y19_N0.coord_x = 3; +defparam asyncreset_ctrl_X1_Y19_N0.coord_y = 1; +defparam asyncreset_ctrl_X1_Y19_N0.coord_z = 0; +defparam asyncreset_ctrl_X1_Y19_N0.AsyncCtrlMux = 2'b00; + +alta_asyncctrl asyncreset_ctrl_X1_Y20_N0( + .Din(), + .Dout(AsyncReset_X1_Y20_GND)); +defparam asyncreset_ctrl_X1_Y20_N0.coord_x = 4; +defparam asyncreset_ctrl_X1_Y20_N0.coord_y = 3; +defparam asyncreset_ctrl_X1_Y20_N0.coord_z = 0; +defparam asyncreset_ctrl_X1_Y20_N0.AsyncCtrlMux = 2'b00; + +alta_asyncctrl asyncreset_ctrl_X1_Y21_N0( + .Din(), + .Dout(AsyncReset_X1_Y21_GND)); +defparam asyncreset_ctrl_X1_Y21_N0.coord_x = 5; +defparam asyncreset_ctrl_X1_Y21_N0.coord_y = 3; +defparam asyncreset_ctrl_X1_Y21_N0.coord_z = 0; +defparam asyncreset_ctrl_X1_Y21_N0.AsyncCtrlMux = 2'b00; + +alta_asyncctrl asyncreset_ctrl_X1_Y24_N0( + .Din(), + .Dout(AsyncReset_X1_Y24_GND)); +defparam asyncreset_ctrl_X1_Y24_N0.coord_x = 5; +defparam asyncreset_ctrl_X1_Y24_N0.coord_y = 2; +defparam asyncreset_ctrl_X1_Y24_N0.coord_z = 0; +defparam asyncreset_ctrl_X1_Y24_N0.AsyncCtrlMux = 2'b00; + +alta_asyncctrl asyncreset_ctrl_X1_Y26_N0( + .Din(), + .Dout(AsyncReset_X1_Y26_GND)); +defparam asyncreset_ctrl_X1_Y26_N0.coord_x = 3; +defparam asyncreset_ctrl_X1_Y26_N0.coord_y = 2; +defparam asyncreset_ctrl_X1_Y26_N0.coord_z = 0; +defparam asyncreset_ctrl_X1_Y26_N0.AsyncCtrlMux = 2'b00; + +alta_slice audio_reset_q( + .A(\MCU_D[1]~input_o ), + .B(vcc), + .C(vcc), + .D(vcc), + .Cin(), + .Qin(\audio_reset_q~q ), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__lcd_reset_q~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\audio_reset_q~0_combout ), + .Cout(), + .Q(\audio_reset_q~q )); +defparam audio_reset_q.coord_x = 3; +defparam audio_reset_q.coord_y = 3; +defparam audio_reset_q.coord_z = 12; +defparam audio_reset_q.mask = 16'h5555; +defparam audio_reset_q.modeMux = 1'b0; +defparam audio_reset_q.FeedbackMux = 1'b0; +defparam audio_reset_q.ShiftMux = 1'b0; +defparam audio_reset_q.BypassEn = 1'b0; +defparam audio_reset_q.CarryEnb = 1'b1; + +alta_clkenctrl clken_ctrl_X1_Y15_N0( + .ClkIn(\MCU_IO_STBX~inputclkctrl_outclk ), + .ClkEn(\tp_q[3]~0_combout ), + .ClkOut(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG )); +defparam clken_ctrl_X1_Y15_N0.coord_x = 3; +defparam clken_ctrl_X1_Y15_N0.coord_y = 3; +defparam clken_ctrl_X1_Y15_N0.coord_z = 0; +defparam clken_ctrl_X1_Y15_N0.ClkMux = 2'b10; +defparam clken_ctrl_X1_Y15_N0.ClkEnMux = 2'b10; + +alta_clkenctrl clken_ctrl_X1_Y15_N1( + .ClkIn(\MCU_IO_STBX~inputclkctrl_outclk ), + .ClkEn(\lcd_reset_q~0_combout ), + .ClkOut(\MCU_IO_STBX~inputclkctrl_outclk__lcd_reset_q~0_combout_X1_Y15_SIG_SIG )); +defparam clken_ctrl_X1_Y15_N1.coord_x = 3; +defparam clken_ctrl_X1_Y15_N1.coord_y = 3; +defparam clken_ctrl_X1_Y15_N1.coord_z = 1; +defparam clken_ctrl_X1_Y15_N1.ClkMux = 2'b10; +defparam clken_ctrl_X1_Y15_N1.ClkEnMux = 2'b10; + +alta_clkenctrl clken_ctrl_X1_Y19_N0( + .ClkIn(\MCU_LCD_RDX~inputclkctrl_outclk ), + .ClkEn(), + .ClkOut(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y19_SIG_VCC )); +defparam clken_ctrl_X1_Y19_N0.coord_x = 3; +defparam clken_ctrl_X1_Y19_N0.coord_y = 1; +defparam clken_ctrl_X1_Y19_N0.coord_z = 0; +defparam clken_ctrl_X1_Y19_N0.ClkMux = 2'b10; +defparam clken_ctrl_X1_Y19_N0.ClkEnMux = 2'b01; + +alta_clkenctrl clken_ctrl_X1_Y20_N0( + .ClkIn(\MCU_LCD_WRX~inputclkctrl_outclk ), + .ClkEn(), + .ClkOut(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y20_INV_VCC )); +defparam clken_ctrl_X1_Y20_N0.coord_x = 4; +defparam clken_ctrl_X1_Y20_N0.coord_y = 3; +defparam clken_ctrl_X1_Y20_N0.coord_z = 0; +defparam clken_ctrl_X1_Y20_N0.ClkMux = 2'b11; +defparam clken_ctrl_X1_Y20_N0.ClkEnMux = 2'b01; + +alta_clkenctrl clken_ctrl_X1_Y21_N0( + .ClkIn(\MCU_LCD_RDX~inputclkctrl_outclk ), + .ClkEn(), + .ClkOut(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y21_SIG_VCC )); +defparam clken_ctrl_X1_Y21_N0.coord_x = 5; +defparam clken_ctrl_X1_Y21_N0.coord_y = 3; +defparam clken_ctrl_X1_Y21_N0.coord_z = 0; +defparam clken_ctrl_X1_Y21_N0.ClkMux = 2'b10; +defparam clken_ctrl_X1_Y21_N0.ClkEnMux = 2'b01; + +alta_clkenctrl clken_ctrl_X1_Y24_N0( + .ClkIn(\MCU_LCD_RDX~inputclkctrl_outclk ), + .ClkEn(), + .ClkOut(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y24_SIG_VCC )); +defparam clken_ctrl_X1_Y24_N0.coord_x = 5; +defparam clken_ctrl_X1_Y24_N0.coord_y = 2; +defparam clken_ctrl_X1_Y24_N0.coord_z = 0; +defparam clken_ctrl_X1_Y24_N0.ClkMux = 2'b10; +defparam clken_ctrl_X1_Y24_N0.ClkEnMux = 2'b01; + +alta_clkenctrl clken_ctrl_X1_Y26_N0( + .ClkIn(\MCU_LCD_RDX~inputclkctrl_outclk ), + .ClkEn(), + .ClkOut(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y26_SIG_VCC )); +defparam clken_ctrl_X1_Y26_N0.coord_x = 3; +defparam clken_ctrl_X1_Y26_N0.coord_y = 2; +defparam clken_ctrl_X1_Y26_N0.coord_z = 0; +defparam clken_ctrl_X1_Y26_N0.ClkMux = 2'b10; +defparam clken_ctrl_X1_Y26_N0.ClkEnMux = 2'b01; + +alta_clkenctrl clken_ctrl_X1_Y26_N1( + .ClkIn(\MCU_LCD_WRX~inputclkctrl_outclk ), + .ClkEn(), + .ClkOut(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y26_INV_VCC )); +defparam clken_ctrl_X1_Y26_N1.coord_x = 3; +defparam clken_ctrl_X1_Y26_N1.coord_y = 2; +defparam clken_ctrl_X1_Y26_N1.coord_z = 1; +defparam clken_ctrl_X1_Y26_N1.ClkMux = 2'b11; +defparam clken_ctrl_X1_Y26_N1.ClkEnMux = 2'b01; + +alta_slice lcd_backlight_q( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[7]~input_o ), + .Cin(), + .Qin(\lcd_backlight_q~q ), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__lcd_reset_q~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\lcd_backlight_q~feeder_combout ), + .Cout(), + .Q(\lcd_backlight_q~q )); +defparam lcd_backlight_q.coord_x = 3; +defparam lcd_backlight_q.coord_y = 3; +defparam lcd_backlight_q.coord_z = 8; +defparam lcd_backlight_q.mask = 16'hFF00; +defparam lcd_backlight_q.modeMux = 1'b0; +defparam lcd_backlight_q.FeedbackMux = 1'b0; +defparam lcd_backlight_q.ShiftMux = 1'b0; +defparam lcd_backlight_q.BypassEn = 1'b0; +defparam lcd_backlight_q.CarryEnb = 1'b1; + +alta_slice \lcd_data_in_q[0] ( + .A(vcc), + .B(\LCD_DB[8]~input_o ), + .C(\LCD_DB[0]~input_o ), + .D(\MCU_LCD_RDX~input_o ), + .Cin(), + .Qin(lcd_data_in_q[0]), + .Clk(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y19_SIG_VCC ), + .AsyncReset(AsyncReset_X1_Y19_GND), + .SyncReset(SyncReset_X1_Y19_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y19_VCC), + .LutOut(\mcu_data_out[0]~0_combout ), + .Cout(), + .Q(lcd_data_in_q[0])); +defparam \lcd_data_in_q[0] .coord_x = 3; +defparam \lcd_data_in_q[0] .coord_y = 1; +defparam \lcd_data_in_q[0] .coord_z = 15; +defparam \lcd_data_in_q[0] .mask = 16'hF0CC; +defparam \lcd_data_in_q[0] .modeMux = 1'b0; +defparam \lcd_data_in_q[0] .FeedbackMux = 1'b1; +defparam \lcd_data_in_q[0] .ShiftMux = 1'b0; +defparam \lcd_data_in_q[0] .BypassEn = 1'b1; +defparam \lcd_data_in_q[0] .CarryEnb = 1'b1; + +alta_slice \lcd_data_in_q[1] ( + .A(vcc), + .B(\MCU_LCD_RDX~input_o ), + .C(\LCD_DB[1]~input_o ), + .D(\LCD_DB[9]~input_o ), + .Cin(), + .Qin(lcd_data_in_q[1]), + .Clk(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y21_SIG_VCC ), + .AsyncReset(AsyncReset_X1_Y21_GND), + .SyncReset(SyncReset_X1_Y21_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y21_VCC), + .LutOut(\mcu_data_out[1]~2_combout ), + .Cout(), + .Q(lcd_data_in_q[1])); +defparam \lcd_data_in_q[1] .coord_x = 5; +defparam \lcd_data_in_q[1] .coord_y = 3; +defparam \lcd_data_in_q[1] .coord_z = 5; +defparam \lcd_data_in_q[1] .mask = 16'hF3C0; +defparam \lcd_data_in_q[1] .modeMux = 1'b0; +defparam \lcd_data_in_q[1] .FeedbackMux = 1'b1; +defparam \lcd_data_in_q[1] .ShiftMux = 1'b0; +defparam \lcd_data_in_q[1] .BypassEn = 1'b1; +defparam \lcd_data_in_q[1] .CarryEnb = 1'b1; + +alta_slice \lcd_data_in_q[2] ( + .A(vcc), + .B(\LCD_DB[10]~input_o ), + .C(\LCD_DB[2]~input_o ), + .D(\MCU_LCD_RDX~input_o ), + .Cin(), + .Qin(lcd_data_in_q[2]), + .Clk(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y24_SIG_VCC ), + .AsyncReset(AsyncReset_X1_Y24_GND), + .SyncReset(SyncReset_X1_Y24_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y24_VCC), + .LutOut(\mcu_data_out[2]~4_combout ), + .Cout(), + .Q(lcd_data_in_q[2])); +defparam \lcd_data_in_q[2] .coord_x = 5; +defparam \lcd_data_in_q[2] .coord_y = 2; +defparam \lcd_data_in_q[2] .coord_z = 15; +defparam \lcd_data_in_q[2] .mask = 16'hF0CC; +defparam \lcd_data_in_q[2] .modeMux = 1'b0; +defparam \lcd_data_in_q[2] .FeedbackMux = 1'b1; +defparam \lcd_data_in_q[2] .ShiftMux = 1'b0; +defparam \lcd_data_in_q[2] .BypassEn = 1'b1; +defparam \lcd_data_in_q[2] .CarryEnb = 1'b1; + +alta_slice \lcd_data_in_q[3] ( + .A(\LCD_DB[11]~input_o ), + .B(vcc), + .C(\LCD_DB[3]~input_o ), + .D(\MCU_LCD_RDX~input_o ), + .Cin(), + .Qin(lcd_data_in_q[3]), + .Clk(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y26_SIG_VCC ), + .AsyncReset(AsyncReset_X1_Y26_GND), + .SyncReset(SyncReset_X1_Y26_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y26_VCC), + .LutOut(\mcu_data_out[3]~6_combout ), + .Cout(), + .Q(lcd_data_in_q[3])); +defparam \lcd_data_in_q[3] .coord_x = 3; +defparam \lcd_data_in_q[3] .coord_y = 2; +defparam \lcd_data_in_q[3] .coord_z = 3; +defparam \lcd_data_in_q[3] .mask = 16'hF0AA; +defparam \lcd_data_in_q[3] .modeMux = 1'b0; +defparam \lcd_data_in_q[3] .FeedbackMux = 1'b1; +defparam \lcd_data_in_q[3] .ShiftMux = 1'b0; +defparam \lcd_data_in_q[3] .BypassEn = 1'b1; +defparam \lcd_data_in_q[3] .CarryEnb = 1'b1; + +alta_slice \lcd_data_in_q[4] ( + .A(vcc), + .B(\MCU_LCD_RDX~input_o ), + .C(\LCD_DB[4]~input_o ), + .D(\LCD_DB[12]~input_o ), + .Cin(), + .Qin(lcd_data_in_q[4]), + .Clk(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y26_SIG_VCC ), + .AsyncReset(AsyncReset_X1_Y26_GND), + .SyncReset(SyncReset_X1_Y26_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y26_VCC), + .LutOut(\mcu_data_out[4]~8_combout ), + .Cout(), + .Q(lcd_data_in_q[4])); +defparam \lcd_data_in_q[4] .coord_x = 3; +defparam \lcd_data_in_q[4] .coord_y = 2; +defparam \lcd_data_in_q[4] .coord_z = 5; +defparam \lcd_data_in_q[4] .mask = 16'hF3C0; +defparam \lcd_data_in_q[4] .modeMux = 1'b0; +defparam \lcd_data_in_q[4] .FeedbackMux = 1'b1; +defparam \lcd_data_in_q[4] .ShiftMux = 1'b0; +defparam \lcd_data_in_q[4] .BypassEn = 1'b1; +defparam \lcd_data_in_q[4] .CarryEnb = 1'b1; + +alta_slice \lcd_data_in_q[5] ( + .A(\LCD_DB[13]~input_o ), + .B(vcc), + .C(\LCD_DB[5]~input_o ), + .D(\MCU_LCD_RDX~input_o ), + .Cin(), + .Qin(lcd_data_in_q[5]), + .Clk(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y26_SIG_VCC ), + .AsyncReset(AsyncReset_X1_Y26_GND), + .SyncReset(SyncReset_X1_Y26_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y26_VCC), + .LutOut(\mcu_data_out[5]~10_combout ), + .Cout(), + .Q(lcd_data_in_q[5])); +defparam \lcd_data_in_q[5] .coord_x = 3; +defparam \lcd_data_in_q[5] .coord_y = 2; +defparam \lcd_data_in_q[5] .coord_z = 4; +defparam \lcd_data_in_q[5] .mask = 16'hF0AA; +defparam \lcd_data_in_q[5] .modeMux = 1'b0; +defparam \lcd_data_in_q[5] .FeedbackMux = 1'b1; +defparam \lcd_data_in_q[5] .ShiftMux = 1'b0; +defparam \lcd_data_in_q[5] .BypassEn = 1'b1; +defparam \lcd_data_in_q[5] .CarryEnb = 1'b1; + +alta_slice \lcd_data_in_q[6] ( + .A(vcc), + .B(\LCD_DB[14]~input_o ), + .C(\LCD_DB[6]~input_o ), + .D(\MCU_LCD_RDX~input_o ), + .Cin(), + .Qin(lcd_data_in_q[6]), + .Clk(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y19_SIG_VCC ), + .AsyncReset(AsyncReset_X1_Y19_GND), + .SyncReset(SyncReset_X1_Y19_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y19_VCC), + .LutOut(\mcu_data_out[6]~12_combout ), + .Cout(), + .Q(lcd_data_in_q[6])); +defparam \lcd_data_in_q[6] .coord_x = 3; +defparam \lcd_data_in_q[6] .coord_y = 1; +defparam \lcd_data_in_q[6] .coord_z = 2; +defparam \lcd_data_in_q[6] .mask = 16'hF0CC; +defparam \lcd_data_in_q[6] .modeMux = 1'b0; +defparam \lcd_data_in_q[6] .FeedbackMux = 1'b1; +defparam \lcd_data_in_q[6] .ShiftMux = 1'b0; +defparam \lcd_data_in_q[6] .BypassEn = 1'b1; +defparam \lcd_data_in_q[6] .CarryEnb = 1'b1; + +alta_slice \lcd_data_in_q[7] ( + .A(vcc), + .B(\LCD_DB[15]~input_o ), + .C(\LCD_DB[7]~input_o ), + .D(\MCU_LCD_RDX~input_o ), + .Cin(), + .Qin(lcd_data_in_q[7]), + .Clk(\MCU_LCD_RDX~inputclkctrl_outclk_X1_Y21_SIG_VCC ), + .AsyncReset(AsyncReset_X1_Y21_GND), + .SyncReset(SyncReset_X1_Y21_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y21_VCC), + .LutOut(\mcu_data_out[7]~14_combout ), + .Cout(), + .Q(lcd_data_in_q[7])); +defparam \lcd_data_in_q[7] .coord_x = 5; +defparam \lcd_data_in_q[7] .coord_y = 3; +defparam \lcd_data_in_q[7] .coord_z = 9; +defparam \lcd_data_in_q[7] .mask = 16'hF0CC; +defparam \lcd_data_in_q[7] .modeMux = 1'b0; +defparam \lcd_data_in_q[7] .FeedbackMux = 1'b1; +defparam \lcd_data_in_q[7] .ShiftMux = 1'b0; +defparam \lcd_data_in_q[7] .BypassEn = 1'b1; +defparam \lcd_data_in_q[7] .CarryEnb = 1'b1; + +alta_slice \lcd_data_out_q[0] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[0]~input_o ), + .Cin(), + .Qin(lcd_data_out_q[0]), + .Clk(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y20_INV_VCC ), + .AsyncReset(AsyncReset_X1_Y20_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\lcd_data_out_q[0]~feeder_combout ), + .Cout(), + .Q(lcd_data_out_q[0])); +defparam \lcd_data_out_q[0] .coord_x = 4; +defparam \lcd_data_out_q[0] .coord_y = 3; +defparam \lcd_data_out_q[0] .coord_z = 15; +defparam \lcd_data_out_q[0] .mask = 16'hFF00; +defparam \lcd_data_out_q[0] .modeMux = 1'b0; +defparam \lcd_data_out_q[0] .FeedbackMux = 1'b0; +defparam \lcd_data_out_q[0] .ShiftMux = 1'b0; +defparam \lcd_data_out_q[0] .BypassEn = 1'b0; +defparam \lcd_data_out_q[0] .CarryEnb = 1'b1; + +alta_slice \lcd_data_out_q[1] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[1]~input_o ), + .Cin(), + .Qin(lcd_data_out_q[1]), + .Clk(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y20_INV_VCC ), + .AsyncReset(AsyncReset_X1_Y20_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\lcd_data_out_q[1]~feeder_combout ), + .Cout(), + .Q(lcd_data_out_q[1])); +defparam \lcd_data_out_q[1] .coord_x = 4; +defparam \lcd_data_out_q[1] .coord_y = 3; +defparam \lcd_data_out_q[1] .coord_z = 0; +defparam \lcd_data_out_q[1] .mask = 16'hFF00; +defparam \lcd_data_out_q[1] .modeMux = 1'b0; +defparam \lcd_data_out_q[1] .FeedbackMux = 1'b0; +defparam \lcd_data_out_q[1] .ShiftMux = 1'b0; +defparam \lcd_data_out_q[1] .BypassEn = 1'b0; +defparam \lcd_data_out_q[1] .CarryEnb = 1'b1; + +alta_slice \lcd_data_out_q[2] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[2]~input_o ), + .Cin(), + .Qin(lcd_data_out_q[2]), + .Clk(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y20_INV_VCC ), + .AsyncReset(AsyncReset_X1_Y20_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\lcd_data_out_q[2]~feeder_combout ), + .Cout(), + .Q(lcd_data_out_q[2])); +defparam \lcd_data_out_q[2] .coord_x = 4; +defparam \lcd_data_out_q[2] .coord_y = 3; +defparam \lcd_data_out_q[2] .coord_z = 5; +defparam \lcd_data_out_q[2] .mask = 16'hFF00; +defparam \lcd_data_out_q[2] .modeMux = 1'b0; +defparam \lcd_data_out_q[2] .FeedbackMux = 1'b0; +defparam \lcd_data_out_q[2] .ShiftMux = 1'b0; +defparam \lcd_data_out_q[2] .BypassEn = 1'b0; +defparam \lcd_data_out_q[2] .CarryEnb = 1'b1; + +alta_slice \lcd_data_out_q[3] ( + .A(), + .B(), + .C(\MCU_D[3]~input_o ), + .D(), + .Cin(), + .Qin(lcd_data_out_q[3]), + .Clk(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y26_INV_VCC ), + .AsyncReset(AsyncReset_X1_Y26_GND), + .SyncReset(SyncReset_X1_Y26_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y26_VCC), + .LutOut(), + .Cout(), + .Q(lcd_data_out_q[3])); +defparam \lcd_data_out_q[3] .coord_x = 3; +defparam \lcd_data_out_q[3] .coord_y = 2; +defparam \lcd_data_out_q[3] .coord_z = 7; +defparam \lcd_data_out_q[3] .mask = 16'hFFFF; +defparam \lcd_data_out_q[3] .modeMux = 1'b1; +defparam \lcd_data_out_q[3] .FeedbackMux = 1'b0; +defparam \lcd_data_out_q[3] .ShiftMux = 1'b0; +defparam \lcd_data_out_q[3] .BypassEn = 1'b1; +defparam \lcd_data_out_q[3] .CarryEnb = 1'b1; + +alta_slice \lcd_data_out_q[4] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[4]~input_o ), + .Cin(), + .Qin(lcd_data_out_q[4]), + .Clk(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y26_INV_VCC ), + .AsyncReset(AsyncReset_X1_Y26_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\lcd_data_out_q[4]~feeder_combout ), + .Cout(), + .Q(lcd_data_out_q[4])); +defparam \lcd_data_out_q[4] .coord_x = 3; +defparam \lcd_data_out_q[4] .coord_y = 2; +defparam \lcd_data_out_q[4] .coord_z = 6; +defparam \lcd_data_out_q[4] .mask = 16'hFF00; +defparam \lcd_data_out_q[4] .modeMux = 1'b0; +defparam \lcd_data_out_q[4] .FeedbackMux = 1'b0; +defparam \lcd_data_out_q[4] .ShiftMux = 1'b0; +defparam \lcd_data_out_q[4] .BypassEn = 1'b0; +defparam \lcd_data_out_q[4] .CarryEnb = 1'b1; + +alta_slice \lcd_data_out_q[5] ( + .A(), + .B(), + .C(\MCU_D[5]~input_o ), + .D(), + .Cin(), + .Qin(lcd_data_out_q[5]), + .Clk(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y26_INV_VCC ), + .AsyncReset(AsyncReset_X1_Y26_GND), + .SyncReset(SyncReset_X1_Y26_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y26_VCC), + .LutOut(), + .Cout(), + .Q(lcd_data_out_q[5])); +defparam \lcd_data_out_q[5] .coord_x = 3; +defparam \lcd_data_out_q[5] .coord_y = 2; +defparam \lcd_data_out_q[5] .coord_z = 2; +defparam \lcd_data_out_q[5] .mask = 16'hFFFF; +defparam \lcd_data_out_q[5] .modeMux = 1'b1; +defparam \lcd_data_out_q[5] .FeedbackMux = 1'b0; +defparam \lcd_data_out_q[5] .ShiftMux = 1'b0; +defparam \lcd_data_out_q[5] .BypassEn = 1'b1; +defparam \lcd_data_out_q[5] .CarryEnb = 1'b1; + +alta_slice \lcd_data_out_q[6] ( + .A(), + .B(), + .C(\MCU_D[6]~input_o ), + .D(), + .Cin(), + .Qin(lcd_data_out_q[6]), + .Clk(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y20_INV_VCC ), + .AsyncReset(AsyncReset_X1_Y20_GND), + .SyncReset(SyncReset_X1_Y20_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y20_VCC), + .LutOut(), + .Cout(), + .Q(lcd_data_out_q[6])); +defparam \lcd_data_out_q[6] .coord_x = 4; +defparam \lcd_data_out_q[6] .coord_y = 3; +defparam \lcd_data_out_q[6] .coord_z = 6; +defparam \lcd_data_out_q[6] .mask = 16'hFFFF; +defparam \lcd_data_out_q[6] .modeMux = 1'b1; +defparam \lcd_data_out_q[6] .FeedbackMux = 1'b0; +defparam \lcd_data_out_q[6] .ShiftMux = 1'b0; +defparam \lcd_data_out_q[6] .BypassEn = 1'b1; +defparam \lcd_data_out_q[6] .CarryEnb = 1'b1; + +alta_slice \lcd_data_out_q[7] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[7]~input_o ), + .Cin(), + .Qin(lcd_data_out_q[7]), + .Clk(\MCU_LCD_WRX~inputclkctrl_outclk_X1_Y20_INV_VCC ), + .AsyncReset(AsyncReset_X1_Y20_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\lcd_data_out_q[7]~feeder_combout ), + .Cout(), + .Q(lcd_data_out_q[7])); +defparam \lcd_data_out_q[7] .coord_x = 4; +defparam \lcd_data_out_q[7] .coord_y = 3; +defparam \lcd_data_out_q[7] .coord_z = 10; +defparam \lcd_data_out_q[7] .mask = 16'hFF00; +defparam \lcd_data_out_q[7] .modeMux = 1'b0; +defparam \lcd_data_out_q[7] .FeedbackMux = 1'b0; +defparam \lcd_data_out_q[7] .ShiftMux = 1'b0; +defparam \lcd_data_out_q[7] .BypassEn = 1'b0; +defparam \lcd_data_out_q[7] .CarryEnb = 1'b1; + +alta_slice lcd_reset_q( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[0]~input_o ), + .Cin(), + .Qin(\lcd_reset_q~q ), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__lcd_reset_q~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\lcd_reset_q~1_combout ), + .Cout(), + .Q(\lcd_reset_q~q )); +defparam lcd_reset_q.coord_x = 3; +defparam lcd_reset_q.coord_y = 3; +defparam lcd_reset_q.coord_z = 1; +defparam lcd_reset_q.mask = 16'h00FF; +defparam lcd_reset_q.modeMux = 1'b0; +defparam lcd_reset_q.FeedbackMux = 1'b0; +defparam lcd_reset_q.ShiftMux = 1'b0; +defparam lcd_reset_q.BypassEn = 1'b0; +defparam lcd_reset_q.CarryEnb = 1'b1; + +alta_slice \lcd_reset_q~0 ( + .A(vcc), + .B(vcc), + .C(\MCU_ADDR~input_o ), + .D(\MCU_DIR~input_o ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\lcd_reset_q~0_combout ), + .Cout(), + .Q()); +defparam \lcd_reset_q~0 .coord_x = 3; +defparam \lcd_reset_q~0 .coord_y = 3; +defparam \lcd_reset_q~0 .coord_z = 7; +defparam \lcd_reset_q~0 .mask = 16'h00F0; +defparam \lcd_reset_q~0 .modeMux = 1'b0; +defparam \lcd_reset_q~0 .FeedbackMux = 1'b0; +defparam \lcd_reset_q~0 .ShiftMux = 1'b0; +defparam \lcd_reset_q~0 .BypassEn = 1'b0; +defparam \lcd_reset_q~0 .CarryEnb = 1'b1; + +alta_slice \mcu_data_out[0]~1 ( + .A(\mcu_data_out[0]~0_combout ), + .B(\SW_R~input_o ), + .C(\MCU_DIR~input_o ), + .D(\MCU_IO_STBX~input_o ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\mcu_data_out[0]~1_combout ), + .Cout(), + .Q()); +defparam \mcu_data_out[0]~1 .coord_x = 4; +defparam \mcu_data_out[0]~1 .coord_y = 1; +defparam \mcu_data_out[0]~1 .coord_z = 15; +defparam \mcu_data_out[0]~1 .mask = 16'hAA3A; +defparam \mcu_data_out[0]~1 .modeMux = 1'b0; +defparam \mcu_data_out[0]~1 .FeedbackMux = 1'b0; +defparam \mcu_data_out[0]~1 .ShiftMux = 1'b0; +defparam \mcu_data_out[0]~1 .BypassEn = 1'b0; +defparam \mcu_data_out[0]~1 .CarryEnb = 1'b1; + +alta_slice \mcu_data_out[1]~3 ( + .A(\SW_L~input_o ), + .B(\mcu_data_out[1]~2_combout ), + .C(\MCU_DIR~input_o ), + .D(\MCU_IO_STBX~input_o ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\mcu_data_out[1]~3_combout ), + .Cout(), + .Q()); +defparam \mcu_data_out[1]~3 .coord_x = 4; +defparam \mcu_data_out[1]~3 .coord_y = 1; +defparam \mcu_data_out[1]~3 .coord_z = 14; +defparam \mcu_data_out[1]~3 .mask = 16'hCC5C; +defparam \mcu_data_out[1]~3 .modeMux = 1'b0; +defparam \mcu_data_out[1]~3 .FeedbackMux = 1'b0; +defparam \mcu_data_out[1]~3 .ShiftMux = 1'b0; +defparam \mcu_data_out[1]~3 .BypassEn = 1'b0; +defparam \mcu_data_out[1]~3 .CarryEnb = 1'b1; + +alta_slice \mcu_data_out[2]~5 ( + .A(\MCU_DIR~input_o ), + .B(\SW_D~input_o ), + .C(\MCU_IO_STBX~input_o ), + .D(\mcu_data_out[2]~4_combout ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\mcu_data_out[2]~5_combout ), + .Cout(), + .Q()); +defparam \mcu_data_out[2]~5 .coord_x = 4; +defparam \mcu_data_out[2]~5 .coord_y = 2; +defparam \mcu_data_out[2]~5 .coord_z = 7; +defparam \mcu_data_out[2]~5 .mask = 16'hF702; +defparam \mcu_data_out[2]~5 .modeMux = 1'b0; +defparam \mcu_data_out[2]~5 .FeedbackMux = 1'b0; +defparam \mcu_data_out[2]~5 .ShiftMux = 1'b0; +defparam \mcu_data_out[2]~5 .BypassEn = 1'b0; +defparam \mcu_data_out[2]~5 .CarryEnb = 1'b1; + +alta_slice \mcu_data_out[3]~7 ( + .A(\SW_U~input_o ), + .B(\mcu_data_out[3]~6_combout ), + .C(\MCU_DIR~input_o ), + .D(\MCU_IO_STBX~input_o ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\mcu_data_out[3]~7_combout ), + .Cout(), + .Q()); +defparam \mcu_data_out[3]~7 .coord_x = 4; +defparam \mcu_data_out[3]~7 .coord_y = 2; +defparam \mcu_data_out[3]~7 .coord_z = 6; +defparam \mcu_data_out[3]~7 .mask = 16'hCC5C; +defparam \mcu_data_out[3]~7 .modeMux = 1'b0; +defparam \mcu_data_out[3]~7 .FeedbackMux = 1'b0; +defparam \mcu_data_out[3]~7 .ShiftMux = 1'b0; +defparam \mcu_data_out[3]~7 .BypassEn = 1'b0; +defparam \mcu_data_out[3]~7 .CarryEnb = 1'b1; + +alta_slice \mcu_data_out[4]~9 ( + .A(\MCU_DIR~input_o ), + .B(\mcu_data_out[4]~8_combout ), + .C(\SW_SEL~input_o ), + .D(\MCU_IO_STBX~input_o ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\mcu_data_out[4]~9_combout ), + .Cout(), + .Q()); +defparam \mcu_data_out[4]~9 .coord_x = 4; +defparam \mcu_data_out[4]~9 .coord_y = 2; +defparam \mcu_data_out[4]~9 .coord_z = 14; +defparam \mcu_data_out[4]~9 .mask = 16'hCC4E; +defparam \mcu_data_out[4]~9 .modeMux = 1'b0; +defparam \mcu_data_out[4]~9 .FeedbackMux = 1'b0; +defparam \mcu_data_out[4]~9 .ShiftMux = 1'b0; +defparam \mcu_data_out[4]~9 .BypassEn = 1'b0; +defparam \mcu_data_out[4]~9 .CarryEnb = 1'b1; + +alta_slice \mcu_data_out[5]~11 ( + .A(\mcu_data_out[5]~10_combout ), + .B(\SW_ROT_A~input_o ), + .C(\MCU_DIR~input_o ), + .D(\MCU_IO_STBX~input_o ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\mcu_data_out[5]~11_combout ), + .Cout(), + .Q()); +defparam \mcu_data_out[5]~11 .coord_x = 4; +defparam \mcu_data_out[5]~11 .coord_y = 2; +defparam \mcu_data_out[5]~11 .coord_z = 15; +defparam \mcu_data_out[5]~11 .mask = 16'hAA3A; +defparam \mcu_data_out[5]~11 .modeMux = 1'b0; +defparam \mcu_data_out[5]~11 .FeedbackMux = 1'b0; +defparam \mcu_data_out[5]~11 .ShiftMux = 1'b0; +defparam \mcu_data_out[5]~11 .BypassEn = 1'b0; +defparam \mcu_data_out[5]~11 .CarryEnb = 1'b1; + +alta_slice \mcu_data_out[6]~13 ( + .A(\mcu_data_out[6]~12_combout ), + .B(\SW_ROT_B~input_o ), + .C(\MCU_DIR~input_o ), + .D(\MCU_IO_STBX~input_o ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\mcu_data_out[6]~13_combout ), + .Cout(), + .Q()); +defparam \mcu_data_out[6]~13 .coord_x = 4; +defparam \mcu_data_out[6]~13 .coord_y = 1; +defparam \mcu_data_out[6]~13 .coord_z = 8; +defparam \mcu_data_out[6]~13 .mask = 16'hAA3A; +defparam \mcu_data_out[6]~13 .modeMux = 1'b0; +defparam \mcu_data_out[6]~13 .FeedbackMux = 1'b0; +defparam \mcu_data_out[6]~13 .ShiftMux = 1'b0; +defparam \mcu_data_out[6]~13 .BypassEn = 1'b0; +defparam \mcu_data_out[6]~13 .CarryEnb = 1'b1; + +alta_slice \mcu_data_out[7]~15 ( + .A(\mcu_data_out[7]~14_combout ), + .B(\LCD_TE~input_o ), + .C(\MCU_DIR~input_o ), + .D(\MCU_IO_STBX~input_o ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\mcu_data_out[7]~15_combout ), + .Cout(), + .Q()); +defparam \mcu_data_out[7]~15 .coord_x = 4; +defparam \mcu_data_out[7]~15 .coord_y = 1; +defparam \mcu_data_out[7]~15 .coord_z = 7; +defparam \mcu_data_out[7]~15 .mask = 16'hAACA; +defparam \mcu_data_out[7]~15 .modeMux = 1'b0; +defparam \mcu_data_out[7]~15 .FeedbackMux = 1'b0; +defparam \mcu_data_out[7]~15 .ShiftMux = 1'b0; +defparam \mcu_data_out[7]~15 .BypassEn = 1'b0; +defparam \mcu_data_out[7]~15 .CarryEnb = 1'b1; + +alta_slice ref_en_q( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[6]~input_o ), + .Cin(), + .Qin(\ref_en_q~q ), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__lcd_reset_q~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\ref_en_q~feeder_combout ), + .Cout(), + .Q(\ref_en_q~q )); +defparam ref_en_q.coord_x = 3; +defparam ref_en_q.coord_y = 3; +defparam ref_en_q.coord_z = 13; +defparam ref_en_q.mask = 16'hFF00; +defparam ref_en_q.modeMux = 1'b0; +defparam ref_en_q.FeedbackMux = 1'b0; +defparam ref_en_q.ShiftMux = 1'b0; +defparam ref_en_q.BypassEn = 1'b0; +defparam ref_en_q.CarryEnb = 1'b1; + +alta_syncctrl syncload_ctrl_X1_Y15( + .Din(), + .Dout(SyncLoad_X1_Y15_VCC)); +defparam syncload_ctrl_X1_Y15.coord_x = 3; +defparam syncload_ctrl_X1_Y15.coord_y = 3; +defparam syncload_ctrl_X1_Y15.coord_z = 1; +defparam syncload_ctrl_X1_Y15.SyncCtrlMux = 2'b01; + +alta_syncctrl syncload_ctrl_X1_Y19( + .Din(), + .Dout(SyncLoad_X1_Y19_VCC)); +defparam syncload_ctrl_X1_Y19.coord_x = 3; +defparam syncload_ctrl_X1_Y19.coord_y = 1; +defparam syncload_ctrl_X1_Y19.coord_z = 1; +defparam syncload_ctrl_X1_Y19.SyncCtrlMux = 2'b01; + +alta_syncctrl syncload_ctrl_X1_Y20( + .Din(), + .Dout(SyncLoad_X1_Y20_VCC)); +defparam syncload_ctrl_X1_Y20.coord_x = 4; +defparam syncload_ctrl_X1_Y20.coord_y = 3; +defparam syncload_ctrl_X1_Y20.coord_z = 1; +defparam syncload_ctrl_X1_Y20.SyncCtrlMux = 2'b01; + +alta_syncctrl syncload_ctrl_X1_Y21( + .Din(), + .Dout(SyncLoad_X1_Y21_VCC)); +defparam syncload_ctrl_X1_Y21.coord_x = 5; +defparam syncload_ctrl_X1_Y21.coord_y = 3; +defparam syncload_ctrl_X1_Y21.coord_z = 1; +defparam syncload_ctrl_X1_Y21.SyncCtrlMux = 2'b01; + +alta_syncctrl syncload_ctrl_X1_Y24( + .Din(), + .Dout(SyncLoad_X1_Y24_VCC)); +defparam syncload_ctrl_X1_Y24.coord_x = 5; +defparam syncload_ctrl_X1_Y24.coord_y = 2; +defparam syncload_ctrl_X1_Y24.coord_z = 1; +defparam syncload_ctrl_X1_Y24.SyncCtrlMux = 2'b01; + +alta_syncctrl syncload_ctrl_X1_Y26( + .Din(), + .Dout(SyncLoad_X1_Y26_VCC)); +defparam syncload_ctrl_X1_Y26.coord_x = 3; +defparam syncload_ctrl_X1_Y26.coord_y = 2; +defparam syncload_ctrl_X1_Y26.coord_z = 1; +defparam syncload_ctrl_X1_Y26.SyncCtrlMux = 2'b01; + +alta_syncctrl syncreset_ctrl_X1_Y15( + .Din(), + .Dout(SyncReset_X1_Y15_GND)); +defparam syncreset_ctrl_X1_Y15.coord_x = 3; +defparam syncreset_ctrl_X1_Y15.coord_y = 3; +defparam syncreset_ctrl_X1_Y15.coord_z = 0; +defparam syncreset_ctrl_X1_Y15.SyncCtrlMux = 2'b00; + +alta_syncctrl syncreset_ctrl_X1_Y19( + .Din(), + .Dout(SyncReset_X1_Y19_GND)); +defparam syncreset_ctrl_X1_Y19.coord_x = 3; +defparam syncreset_ctrl_X1_Y19.coord_y = 1; +defparam syncreset_ctrl_X1_Y19.coord_z = 0; +defparam syncreset_ctrl_X1_Y19.SyncCtrlMux = 2'b00; + +alta_syncctrl syncreset_ctrl_X1_Y20( + .Din(), + .Dout(SyncReset_X1_Y20_GND)); +defparam syncreset_ctrl_X1_Y20.coord_x = 4; +defparam syncreset_ctrl_X1_Y20.coord_y = 3; +defparam syncreset_ctrl_X1_Y20.coord_z = 0; +defparam syncreset_ctrl_X1_Y20.SyncCtrlMux = 2'b00; + +alta_syncctrl syncreset_ctrl_X1_Y21( + .Din(), + .Dout(SyncReset_X1_Y21_GND)); +defparam syncreset_ctrl_X1_Y21.coord_x = 5; +defparam syncreset_ctrl_X1_Y21.coord_y = 3; +defparam syncreset_ctrl_X1_Y21.coord_z = 0; +defparam syncreset_ctrl_X1_Y21.SyncCtrlMux = 2'b00; + +alta_syncctrl syncreset_ctrl_X1_Y24( + .Din(), + .Dout(SyncReset_X1_Y24_GND)); +defparam syncreset_ctrl_X1_Y24.coord_x = 5; +defparam syncreset_ctrl_X1_Y24.coord_y = 2; +defparam syncreset_ctrl_X1_Y24.coord_z = 0; +defparam syncreset_ctrl_X1_Y24.SyncCtrlMux = 2'b00; + +alta_syncctrl syncreset_ctrl_X1_Y26( + .Din(), + .Dout(SyncReset_X1_Y26_GND)); +defparam syncreset_ctrl_X1_Y26.coord_x = 3; +defparam syncreset_ctrl_X1_Y26.coord_y = 2; +defparam syncreset_ctrl_X1_Y26.coord_z = 0; +defparam syncreset_ctrl_X1_Y26.SyncCtrlMux = 2'b00; + +alta_slice sysoff_q( + .A(\MCU_D[2]~input_o ), + .B(vcc), + .C(vcc), + .D(vcc), + .Cin(), + .Qin(\sysoff_q~q ), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__lcd_reset_q~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\sysoff_q~feeder_combout ), + .Cout(), + .Q(\sysoff_q~q )); +defparam sysoff_q.coord_x = 3; +defparam sysoff_q.coord_y = 3; +defparam sysoff_q.coord_z = 2; +defparam sysoff_q.mask = 16'hAAAA; +defparam sysoff_q.modeMux = 1'b0; +defparam sysoff_q.FeedbackMux = 1'b0; +defparam sysoff_q.ShiftMux = 1'b0; +defparam sysoff_q.BypassEn = 1'b0; +defparam sysoff_q.CarryEnb = 1'b1; + +alta_slice \tp_q[0] ( + .A(), + .B(), + .C(\MCU_D[0]~input_o ), + .D(), + .Cin(), + .Qin(tp_q[0]), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(SyncReset_X1_Y15_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y15_VCC), + .LutOut(), + .Cout(), + .Q(tp_q[0])); +defparam \tp_q[0] .coord_x = 3; +defparam \tp_q[0] .coord_y = 3; +defparam \tp_q[0] .coord_z = 9; +defparam \tp_q[0] .mask = 16'hFFFF; +defparam \tp_q[0] .modeMux = 1'b1; +defparam \tp_q[0] .FeedbackMux = 1'b0; +defparam \tp_q[0] .ShiftMux = 1'b0; +defparam \tp_q[0] .BypassEn = 1'b1; +defparam \tp_q[0] .CarryEnb = 1'b1; + +alta_slice \tp_q[1] ( + .A(), + .B(), + .C(\MCU_D[1]~input_o ), + .D(), + .Cin(), + .Qin(tp_q[1]), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(SyncReset_X1_Y15_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y15_VCC), + .LutOut(), + .Cout(), + .Q(tp_q[1])); +defparam \tp_q[1] .coord_x = 3; +defparam \tp_q[1] .coord_y = 3; +defparam \tp_q[1] .coord_z = 10; +defparam \tp_q[1] .mask = 16'hFFFF; +defparam \tp_q[1] .modeMux = 1'b1; +defparam \tp_q[1] .FeedbackMux = 1'b0; +defparam \tp_q[1] .ShiftMux = 1'b0; +defparam \tp_q[1] .BypassEn = 1'b1; +defparam \tp_q[1] .CarryEnb = 1'b1; + +alta_slice \tp_q[2] ( + .A(\MCU_D[2]~input_o ), + .B(vcc), + .C(vcc), + .D(vcc), + .Cin(), + .Qin(tp_q[2]), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\tp_q[2]~feeder_combout ), + .Cout(), + .Q(tp_q[2])); +defparam \tp_q[2] .coord_x = 3; +defparam \tp_q[2] .coord_y = 3; +defparam \tp_q[2] .coord_z = 4; +defparam \tp_q[2] .mask = 16'hAAAA; +defparam \tp_q[2] .modeMux = 1'b0; +defparam \tp_q[2] .FeedbackMux = 1'b0; +defparam \tp_q[2] .ShiftMux = 1'b0; +defparam \tp_q[2] .BypassEn = 1'b0; +defparam \tp_q[2] .CarryEnb = 1'b1; + +alta_slice \tp_q[3] ( + .A(), + .B(), + .C(\MCU_D[3]~input_o ), + .D(), + .Cin(), + .Qin(tp_q[3]), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(SyncReset_X1_Y15_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y15_VCC), + .LutOut(), + .Cout(), + .Q(tp_q[3])); +defparam \tp_q[3] .coord_x = 3; +defparam \tp_q[3] .coord_y = 3; +defparam \tp_q[3] .coord_z = 14; +defparam \tp_q[3] .mask = 16'hFFFF; +defparam \tp_q[3] .modeMux = 1'b1; +defparam \tp_q[3] .FeedbackMux = 1'b0; +defparam \tp_q[3] .ShiftMux = 1'b0; +defparam \tp_q[3] .BypassEn = 1'b1; +defparam \tp_q[3] .CarryEnb = 1'b1; + +alta_slice \tp_q[3]~0 ( + .A(vcc), + .B(vcc), + .C(\MCU_ADDR~input_o ), + .D(\MCU_DIR~input_o ), + .Cin(), + .Qin(), + .Clk(), + .AsyncReset(), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\tp_q[3]~0_combout ), + .Cout(), + .Q()); +defparam \tp_q[3]~0 .coord_x = 3; +defparam \tp_q[3]~0 .coord_y = 3; +defparam \tp_q[3]~0 .coord_z = 3; +defparam \tp_q[3]~0 .mask = 16'h000F; +defparam \tp_q[3]~0 .modeMux = 1'b0; +defparam \tp_q[3]~0 .FeedbackMux = 1'b0; +defparam \tp_q[3]~0 .ShiftMux = 1'b0; +defparam \tp_q[3]~0 .BypassEn = 1'b0; +defparam \tp_q[3]~0 .CarryEnb = 1'b1; + +alta_slice \tp_q[4] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[4]~input_o ), + .Cin(), + .Qin(tp_q[4]), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\tp_q[4]~feeder_combout ), + .Cout(), + .Q(tp_q[4])); +defparam \tp_q[4] .coord_x = 3; +defparam \tp_q[4] .coord_y = 3; +defparam \tp_q[4] .coord_z = 5; +defparam \tp_q[4] .mask = 16'hFF00; +defparam \tp_q[4] .modeMux = 1'b0; +defparam \tp_q[4] .FeedbackMux = 1'b0; +defparam \tp_q[4] .ShiftMux = 1'b0; +defparam \tp_q[4] .BypassEn = 1'b0; +defparam \tp_q[4] .CarryEnb = 1'b1; + +alta_slice \tp_q[5] ( + .A(), + .B(), + .C(\MCU_D[5]~input_o ), + .D(), + .Cin(), + .Qin(tp_q[5]), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(SyncReset_X1_Y15_GND), + .ShiftData(), + .SyncLoad(SyncLoad_X1_Y15_VCC), + .LutOut(), + .Cout(), + .Q(tp_q[5])); +defparam \tp_q[5] .coord_x = 3; +defparam \tp_q[5] .coord_y = 3; +defparam \tp_q[5] .coord_z = 6; +defparam \tp_q[5] .mask = 16'hFFFF; +defparam \tp_q[5] .modeMux = 1'b1; +defparam \tp_q[5] .FeedbackMux = 1'b0; +defparam \tp_q[5] .ShiftMux = 1'b0; +defparam \tp_q[5] .BypassEn = 1'b1; +defparam \tp_q[5] .CarryEnb = 1'b1; + +alta_slice \tp_q[6] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[6]~input_o ), + .Cin(), + .Qin(tp_q[6]), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\tp_q[6]~feeder_combout ), + .Cout(), + .Q(tp_q[6])); +defparam \tp_q[6] .coord_x = 3; +defparam \tp_q[6] .coord_y = 3; +defparam \tp_q[6] .coord_z = 15; +defparam \tp_q[6] .mask = 16'hFF00; +defparam \tp_q[6] .modeMux = 1'b0; +defparam \tp_q[6] .FeedbackMux = 1'b0; +defparam \tp_q[6] .ShiftMux = 1'b0; +defparam \tp_q[6] .BypassEn = 1'b0; +defparam \tp_q[6] .CarryEnb = 1'b1; + +alta_slice \tp_q[7] ( + .A(vcc), + .B(vcc), + .C(vcc), + .D(\MCU_D[7]~input_o ), + .Cin(), + .Qin(tp_q[7]), + .Clk(\MCU_IO_STBX~inputclkctrl_outclk__tp_q[3]~0_combout_X1_Y15_SIG_SIG ), + .AsyncReset(AsyncReset_X1_Y15_GND), + .SyncReset(), + .ShiftData(), + .SyncLoad(), + .LutOut(\tp_q[7]~feeder_combout ), + .Cout(), + .Q(tp_q[7])); +defparam \tp_q[7] .coord_x = 3; +defparam \tp_q[7] .coord_y = 3; +defparam \tp_q[7] .coord_z = 11; +defparam \tp_q[7] .mask = 16'hFF00; +defparam \tp_q[7] .modeMux = 1'b0; +defparam \tp_q[7] .FeedbackMux = 1'b0; +defparam \tp_q[7] .ShiftMux = 1'b0; +defparam \tp_q[7] .BypassEn = 1'b0; +defparam \tp_q[7] .CarryEnb = 1'b1; + +endmodule diff --git a/hardware/portapack_h4m/CPLD/Supra/portapack_h4m_cpld_sram.prg b/hardware/portapack_h4m/CPLD/Supra/portapack_h4m_cpld_sram.prg new file mode 100644 index 00000000..6f2e9295 --- /dev/null +++ b/hardware/portapack_h4m/CPLD/Supra/portapack_h4m_cpld_sram.prg @@ -0,0 +1,58 @@ +set sh_continue_on_error false +usb_connect +if { ! [jtag_device_id] } { + exit +} +runtest -tck 1 +sir 10 -tdi 3e3 +runtest -tck 5000 +sir 10 -tdi 3f8 +runtest -tck 100 +sir 10 -tdi 3f9 +runtest -tck 100 +sir 10 -tdi 3f8 +runtest -tck 100 +sir 10 -tdi 6 +runtest -tck 100 +sdr 32 -tdi 00000000 -tdo 00025610 -mask ffffffff +sir 10 -tdi 3fc +runtest -tck 100 +sdr 8 -tdi 00 +sir 10 -tdi 3fa +runtest -tck 100 +sdr 57568 \ + -tdi f1f00000403f00540000007fffffffffffffffffe0000000000000000000000000000000001fffff0000007fffffffffffffffffe0000000000000000000000000000000001fffff0000007fffffffffffffffffe0000000000000000000000000000000001fffff0000007fffffffffffffffffe0000000000000000000000000000000001fffff0000007fffffffffffffffffe561104842434220110104280440010a011fffff0000007fffffffffffffffffe0020800000008000004000000018000001fffff0000007fffffffffffffffffe66318300180c6000000000000000000001fffff0000007fffffffffffffffffe000000000020020110004280040010a001fffff0000007e000000000000000000000a88800000608000000000000000000000000000007e000000000000000000000003000004019800000000000000000000000000007e00000000000000000000004b700000906000000000000000000000000000007e000000000000000000000003000091019000000000000000000000000000007e000000000000000000000000000000680000000000000000000000010000007e00000000000000000000000000000400d000000000000000000000000000007e000000000000000000000000008008a1e800000000000000000000000000007e000000000000000018000000040000002000000000000000000000000000007e000000000000000000000000000000000000000000000000000000000000007e000000000000000000000000000000000000000000000000000000000000007e000000000000000000000000000000000000000000000000000000000000007e000000000000000000000000000000000000000000000000000000000000007e000000000000000000500000001480000000000000000000000000010000007e000000000000000000000000000010000000000000000000000000000000007e000000000000000000008000000000000080000000000000000000000000007e000000000000000000008000000000000040000000000000000000000000007e000000000000000000000000000000000000000000000000000000010000007e000000000000000000000000000000000000000000000000000000000000007e000000000000000000000000000000000000000000000000000000000000007e000000000000000000000000000000000000000000000000000000000000007e000000000000000000000000000000000000000000000000000000010000007e000000000000000000000000000000000000000000000000000000000000007e000000000000000000010000000000000000000000000000000000000000007e000000000000000000004000000000000000000000000000000000000000007e000000000000000000000000000000000000000000000000000000010000007e000000000000000000000000000000000000000000000000000000000000007e00000000000000000c000000000060000000000000000000000000000000007e000000000000000000000000000000000000000000000000000000000000007e000000000000000000000000000000688080000000000000000000010000007e000000000000000000000000002204009840000000000000000000000000007e000000000000000000000000000214606000000000000000000000000000007e000000000000000000000000000820089000000000000000000000000000007e000000000000000000000000100000000000000000000000000000000000007e000000000000000000000000100000000000000000000000000000000000007e000000000000000008000000400000000000000000000000000000000000007e000000000000000008000000000000000000000000000000000000000000007e000000000000000000028000000000688000800000000000000000010000007e000000000000000000000000000004008001000000000000000000000000007e000000000000000000000000000008607800000000000000000000000000007e000000000000000000000000000000109000000000000000000000000000007e000000000000000000000000000040000000800000000000000000010000007e000000000000000000000000000010000001000000000000000000000000007e0000000000000000002000000000a0000000000000000000000000000000007e000000000000000000080000000000000000000000000000000000000000007e000000000000000000000000028000000004000000000000000000010000007e000000000000000000000000000000000000800000000000000000000000007e000000000000000000000000020000000000000000000000000000000000007e000000000000000000000000010000000000000000000000000000000000007e000000000000000000000000000000000000000000000000000000010000007e000000000000000000000000000000000000000000000000000000000000007e000000000000000000000000000000000000000000000000000000000000007e000000000000000000000000000000000000000000000000000000000000007e000000000000000000000000000000000000000000000000000000010000007e000000000000000000000000000000000000000000000000000000000000007e000000000000000000000000000000000000080000000000000000000000007e000000000000000000000000000000000000100000000000000000000000007e000000000000000008000188800000000000000000000000000000010000007e00000000000000000800d003000000000000000000000000000000000000007e000000000000000000000047700000000000800000000000000010000000007e000000000000000000000003000000000001000000000000000020000000007e000000000000000000044000000010000000000000000200000000010000007e000000000000000000000000000100000000000000000400000000000000007e000000000000000000000000000000000000000000000000000000000000007e000000000000000000000000000000000000000000000000000000000000007f008428040000000000000000000000000000000000000000000000010000007e000000000000000000000000000090000000000000000000000000000000007e000000000000000000018000000010000000000000000000000000000000007f0080000000000000000000000001000000000000000000000000000000000000000000000000000010000000000000a080040118080000000000000400000000000000000000000002000000000002029800800130000000000000000000000000000000000000000000000000008050600000093700000000000000000000120000000000000000000000000000108190000000300000000000000200000000000000000000000000000000000004a0000000000000000000000004000000000000000000000000000000000480000290000000000000000000000000000000000000000000000000000000000000a8f8000000000000000000000000000000000000000000000000000000000002002000000000000000000000200000004000000000004000000000000000000000000000000000000000000000000000000000000000800000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040000000000000000000000000040000000000000000000000000000000000000000000000000000000000000000400000000000000000000000000000000000000000000000000000080000000400000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000004000000000000000000020000000021000000000000000000000000001000000000000000000000000000800000008400000000000000000000000000000000000000000000040000000000000000000000000000000000000000000000000000000000000008000000000000000000000000000000000000000000000000000400000000000000000000040000000000000000000000000000000000000000000000000000000000000020000000000000000000000000000000000000000000000000000000200000000000000000000000000000000000000000000000000000480000000040000000000000000000000000000000000000000000000000040000000000000000000014000000400000000000000000000000000a800000000000000000000000000000000002000000000000000000000000001000000000000000000000000000010800002000000000000000000000000000000000000000000000000000000008400000100000000000000000000000000000000000000000000000000000000000001100000000000000001000000000000000000000000000000000000000000000010000000000000000100000000000000000000000000000000000000080000004000000000800000040000000000000000000000000000000000000008004000200000000080000000000000000000000000004000000000000000000001220000048061c000000000000000000a000000000000000000000000000000004000900818009800000000000000000000000000000000400000000000000000000010000090180100000000000000000000000000000004000000000000000000000c40020240080000000000000000000000000040000000000000000000000083000100a00000000000000000000000000000000110000000000000000000002370121202d000000000000000000000000000000000000000000000000400800060000464e8000000000000000000000000000000000000000000000010040000080000002000000000000000000000240000004000000000000000000000028384000000000000000000000000000001000000000000000000000000000002070100000000000000000000000000000000000000000000000000000000000080700080000000000000000000000000000000000000000000000000000000000b0000100000000000000000000000000000000040000000000000000000000182800000000000000000000000000000010000000000000000006000000000080a201200000000000000000000000000000000000000000000000000000000210352000000000100000000000000000000000000000000000000000000000000030100000000080000000000000000000000000040000000000000000000000282800000000000000000000000001200010000000000000000000000000000100a2000000000000000000000000000000000000000088000000000000008000103500000000000000000000000000a000000000000000000000000000004002003000000000000000000000000000000000000004000000000000000000000120004000000000000000000000000000001000000000000000000000000000000009100000000000000000000000000000000000000000000000000000000000000120800000081000000000000000000000000000110000000000000000000c00009025000001800000000000000000000000000400000000000000000000000000080000001000000000000000000000100000000000000000000000000000000040000000020000000000000000000000000000000200000000200000000000000000000008000000000000000005000000000000004000000040000000000000000000000100000000000000000000000000040000000000000000000000000000020000000040000000000000000010000000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001200000000000000000000000000000000000000000000000000000000008300000020c000000000002000000000010000000000000000000000000000c00b68000008d8000000000080000000000000000000000000000000000000020000600000001800000000000000000000000000000000000000000000000000400000000000020000000000000000000000000000400000000000000000000022000000000000000000000000000000000100000000000000000000000012000000882200000000000000000000000000000000000000000000002000000000000010000000000000000000000000140000000000000180000000800000000000000000000000000000000000000000000000000008000000000000000000800083000000000000200000000000000000010000000000000000000000000008000b780000000000040000000000000000000000000000000000000000000000000060000000000080000000000000000000000000020000000000600000001800000000000000040000000000000000000000000001000000000000000000000001000000000000040000000000000000010000000010000000000000000000000150000000000010000000000000000000000000000000000000000000000021022000000000002400000000000000000000000029800000000000000000000001a80000000000000000000000000000000000000c000000000000000000028083000000000000000000000000000000010000000000000000000000000000001368011000000000000000000000000000000000000000000000000000090000006024000000000000000000000000000000000000018000000000000000000000000000000000000000000000000000000000000110000000000000000004610001000024c000000000000000000000010000000000000000600000001800000084000000d80000000000000000000000000000000000000000000000020000001000000018900000000000000000000000000030000000000000000010000000080000000200000000000000000000000000000200000000000400000000010000001000000800a8080000000000000100000000000000000001000000002000880020000000a4013000000000000000000000000000000000000000000480001240000000000009370000000000040000000010000000000000000000000000000000000000000030000000000000400000000000000000000000000080008300000000000000000000000000000001000000000000000000000000000800137012000000000000000000000000000000000000000000000000000000048000624000000000000000000000000080000000001200000000000000000000000008000000000000000000000000000800000000000000000000000000000000829000000004000000010000000000000000000000000000000000000000000004100000000400000001000000000000000000000000000000000000000800400840000000188000000400000000000000000000000000000000000000000000802200000000800000000000000000000000000000000000000000000000000143002400000000000000000000000000010000000001800000000000000000080b00000000000000000000000000000000000000000080000000000000000000038424000000000000000000000000000000000000080000000000000000000003e0800000000000000000000000000000000000400000000000000000099012000000004000000000000000000000000100000000000000000000000000000000800000802200000000000000000000000000000000000000000000000904800010012000040280000001200000000000000000000000000000000000000000000c8000000000000000000000000000000000000400000000000a00000082808300000020c000002878000000000000010000000000000000000000000008002368120004da0000403000000000000000000000000000000000040000000000006001000018080008070000000000004000000008000000000001000000000000000010000000800130000000000001000000001000000000002000000000000200020000000000000000000000000001000000000000000000400000000000022804000000000000000000000000000000000000000000000000000000000101c024000000000000000000000000000000000021800000000000000000882002400000000000000000000000000000000000004000000000000100000004414000010000000000000000000000000001000000000000000000100000000008086000100000004400000000000000000000000000000000000000000000000003e000000000000000000000000000000000000000018000000000000018000003e00000000000000000000000000000000000004000000000000000000010000204020000000000000000000000000001000000000000000000000000004000023104000000000000000000000012000000000000100000000000000009048101c00000000009000000000000000000000000000100000000000000000000200248000000000000000000000000004800000000000000000000000000009000800400000000a9000000000000000000000000000000000000000000000000000410800000000000000000000000000000000000000000000000000000090000038264000000990000000000000000000000000000000000000000000000000003e80000000000000000000000000000000000000004000000000000000000000000000012c000000000000000000000000000000180800000000000000000000000120000d8000000000000000000000000000001100000000000000000000000024000001800000000000000000000000000000a000000000060000000000000000000000200000000000000000000000000007e00000000018c60cc04210820010842080000842000000002011fffff0000007e000000000000000002421010009084040050000000000000001fffff0000007e04000000020040c401050800011808000060000000000000001fffff0000007e620428042892201008a010504041210a100084250440210a011fffff0000007e000000000000000000000000000000000000000000000000001fffff0000007e000000000000000000000000000000000000000000000000001fffff0000007e000000000000000000000000000000000000000000000000001fffff0000007e000000000000000000000000000000000000000000000000001fffff04ffdb00000000450000a1650b285942ca1641ee0f707b83df0b3859c2ce1670b28f947ca3e51f205902c81640b205942ca1650b285942ca1650b285942ca1650b285942ca1650b285907b83dc1ee05942ca1640b285902c83dc1ee0f707b83dc1ee0f707b81640b20f707b83dc1ee0f707b83dc1ee0f707883c40b285942c81650b20f1c2ca1650b385942c04f0200004000045 \ + -tdo 0 \ + -mask 0 +sir 10 -tdi 3e5 +runtest -tck 100 +sir 10 -tdi 3fc +runtest -tck 100 +sdr 8 -tdi 00 +sir 10 -tdi 3fa +runtest -tck 100 +sdr 64 \ + -tdi 04f0200004000005 \ + -tdo 0 \ + -mask 0 +sir 10 -tdi 3fd +runtest -tck 100 +sdr 1044 \ + -tdi 0 \ + -tdo 142CA1650B285942C83DC1EE0F707BE1670B3859C2CE1651F28F947CA3E40B205902C81640B285942CA1650B285942CA1650B285942CA1650B285942CA1650B20F707B83DC0B285942C81650B205907B83DC1EE0F707B83DC1EE0F702C81641EE0F707B83DC1EE0F707B83DC1EE0F107881650B285902CA1641E385942CA1670B2858 \ + -mask 1FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE +sir 10 -tdi 3fa +runtest -tck 100 +sdr 64 \ + -tdi 0402130000000005 \ + -tdo 0 \ + -mask 0 +sir 10 -tdi 3fd +runtest -tck 100 +sdr 51268 \ + -tdi 0 \ + -tdo 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 \ + -mask 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 +sir 10 -tdi 3f9 +runtest -tck 100 +usb_close